Skip to main content

2013 | OriginalPaper | Buchkapitel

16. 3D Chip/Package Co-analysis of Stress-Induced Timing Variations

verfasst von : Sung Kyu Lim

Erschienen in: Design for High Performance, Low Power, and Reliable 3D Integrated Circuits

Verlag: Springer New York

Aktivieren Sie unsere intelligente Suche, um passende Fachinhalte oder Patente zu finden.

search-config
loading …

Abstract

In this chapter, we study a chip/package stress-aware timing co-analysis methodology for TSV-based 3D ICs. While previous works ignore the stress and mobility variation due to die-stacking and package components, we address these impacts on full-stack 3D IC timing. First, we build hole and electron mobility variation maps based on the chip/package stress co-analysis that considers on/off-chip elements such as TSVs, μ-bumps, and package-bumps ( = C4 bumps). Second, we compare our approach with conventional TSV stress aware timing analysis methods that ignore packaging impacts. Our major finding is that we observe different mobility variation behavior across the stack when we consider both chip and package components. In addition, we observe significant mobility variations in the die closest to the package-bump layer due to the highly compressive stress caused by package-bumps and underfill. Based on these findings, we develop a full-stack 3D static timing analysis engine and provide results for practical 3D IC designs including wide-I/O and block-level 3D ICs.

Sie haben noch keine Lizenz? Dann Informieren Sie sich jetzt über unsere Produkte:

Springer Professional "Wirtschaft+Technik"

Online-Abonnement

Mit Springer Professional "Wirtschaft+Technik" erhalten Sie Zugriff auf:

  • über 102.000 Bücher
  • über 537 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Maschinenbau + Werkstoffe
  • Versicherung + Risiko

Jetzt Wissensvorsprung sichern!

Springer Professional "Technik"

Online-Abonnement

Mit Springer Professional "Technik" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 390 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Maschinenbau + Werkstoffe




 

Jetzt Wissensvorsprung sichern!

Literatur
1.
Zurück zum Zitat K. Athikulwongse, A. Chakraborty, J.-S. Yang, D.Z. Pan, S.K. Lim, Stress-driven 3D-IC placement with TSV keep-out zone and regularity study, in Proceedings of IEEE International Conference on Computer-Aided Design, San Jose, 2010 K. Athikulwongse, A. Chakraborty, J.-S. Yang, D.Z. Pan, S.K. Lim, Stress-driven 3D-IC placement with TSV keep-out zone and regularity study, in Proceedings of IEEE International Conference on Computer-Aided Design, San Jose, 2010
2.
Zurück zum Zitat G.V. der Plas et al., Design issues and considerations for low-cost 3D TSV IC technology, in IEEE International Solid-State Circuits Conference Digest of Technical Papers, San Francisco, 2010 G.V. der Plas et al., Design issues and considerations for low-cost 3D TSV IC technology, in IEEE International Solid-State Circuits Conference Digest of Technical Papers, San Francisco, 2010
3.
Zurück zum Zitat R.C. Jaeger, J.C. Suhling, R. Ramani, A.T. Bradley, J. Xu, CMOS stress sensors on (100) silicon. IEEE J. Solid State Circuits 35, 85–95 (2000)CrossRef R.C. Jaeger, J.C. Suhling, R. Ramani, A.T. Bradley, J. Xu, CMOS stress sensors on (100) silicon. IEEE J. Solid State Circuits 35, 85–95 (2000)CrossRef
4.
Zurück zum Zitat M. Jung, J. Mitra, D.Z. Pan, S.K. Lim, TSV stress-aware full-chip mechanical reliability analysis and optimization for 3D IC. In Proceedings of ACM Design Automation Conference, San Diego, 2011 M. Jung, J. Mitra, D.Z. Pan, S.K. Lim, TSV stress-aware full-chip mechanical reliability analysis and optimization for 3D IC. In Proceedings of ACM Design Automation Conference, San Diego, 2011
5.
Zurück zum Zitat M. Jung, D. Pan, S.K. Lim, Chip/package co-analysis of thermo-mechanical stress and reliability in TSV-based 3D ICs, in Proceedings of ACM Design Automation Conference, San Francisco, 2012 M. Jung, D. Pan, S.K. Lim, Chip/package co-analysis of thermo-mechanical stress and reliability in TSV-based 3D ICs, in Proceedings of ACM Design Automation Conference, San Francisco, 2012
6.
Zurück zum Zitat J.-S. Kim et al., A 1.2 V 12.8 GB/s 2 Gb mobile wide-I/O DRAM with 4x128 I/O using TSV-based stacking, in IEEE International Solid-State Circuits Conference Digest Technical Papers, San Francisco, 2011 J.-S. Kim et al., A 1.2 V 12.8 GB/s 2 Gb mobile wide-I/O DRAM with 4x128 I/O using TSV-based stacking, in IEEE International Solid-State Circuits Conference Digest Technical Papers, San Francisco, 2011
7.
Zurück zum Zitat D.H. Kim, R. Topaloglu, S.K. Lim, Block-level 3D IC design with through-silicon-via planning, in Proceedings of Asia and South Pacific Design Automation Conference, Sydney, 2012 D.H. Kim, R. Topaloglu, S.K. Lim, Block-level 3D IC design with through-silicon-via planning, in Proceedings of Asia and South Pacific Design Automation Conference, Sydney, 2012
8.
Zurück zum Zitat K.H. Lu, X. Zhang, S.-K. Ryu, J. Im, R. Huang, P.S. Ho, Thermo-mechanical reliability of 3-D ICs containing through silicon vias, in IEEE Electronic Components and Technology Conference, San Diego, 2009 K.H. Lu, X. Zhang, S.-K. Ryu, J. Im, R. Huang, P.S. Ho, Thermo-mechanical reliability of 3-D ICs containing through silicon vias, in IEEE Electronic Components and Technology Conference, San Diego, 2009
9.
Zurück zum Zitat A. Mercha et al., Comprehensive analysis of the impact of single and arrays of through silicon vias induced stress on high-k/metal gate CMOS performance, in Proceedings IEEE International Electron Devices Meeting, San Francisco, 2010 A. Mercha et al., Comprehensive analysis of the impact of single and arrays of through silicon vias induced stress on high-k/metal gate CMOS performance, in Proceedings IEEE International Electron Devices Meeting, San Francisco, 2010
10.
Zurück zum Zitat M. Nakamoto et al., Simulation methodology and flow integration for 3D IC stress management, in Proceedings of IEEE Custom Integrated Circuits Conference, San Jose, 2010 M. Nakamoto et al., Simulation methodology and flow integration for 3D IC stress management, in Proceedings of IEEE Custom Integrated Circuits Conference, San Jose, 2010
11.
Zurück zum Zitat J.-S. Yang, K. Athikulwongse, Y.-J. Lee, S.K. Lim, D.Z. Pan, TSV stress aware timing analysis with applications to 3D-IC layout optimization, in Proceedings of ACM Design Automation Conference, Anaheim, 2010 J.-S. Yang, K. Athikulwongse, Y.-J. Lee, S.K. Lim, D.Z. Pan, TSV stress aware timing analysis with applications to 3D-IC layout optimization, in Proceedings of ACM Design Automation Conference, Anaheim, 2010
Metadaten
Titel
3D Chip/Package Co-analysis of Stress-Induced Timing Variations
verfasst von
Sung Kyu Lim
Copyright-Jahr
2013
Verlag
Springer New York
DOI
https://doi.org/10.1007/978-1-4419-9542-1_16

Neuer Inhalt