Skip to main content
Erschienen in: The Journal of Supercomputing 7/2018

21.03.2018

A highly efficient dynamic router for application-oriented network on chip

verfasst von: Nan Su, Huaxi Gu, Kun Wang, Xiaoshan Yu, Bowen Zhang

Erschienen in: The Journal of Supercomputing | Ausgabe 7/2018

Einloggen

Aktivieren Sie unsere intelligente Suche, um passende Fachinhalte oder Patente zu finden.

search-config
loading …

Abstract

With the number of processor cores increasing in chip multiprocessors, the network on chip becomes a reliable structure with its perfect parallel communication performance. The traditional static router suffers a bad performance because of low buffer utilization for application-oriented network on chip. In this paper, a dynamic router which can take into account the unbalanced traffic for application-oriented network on chip is proposed. The router combines the inter-port and intra-port buffer allocation mechanism, which makes efficient use of buffer resources and avoids the head of line blocking. Furthermore, the proposed router can solve the problem of unbalanced load effectively between different ports on the same router. Simulation results show that the on-chip routers balance traffic between ports and increase the buffer utilization by 21.8%, thus optimizing delay and throughput performance for application-oriented network on chip.

Sie haben noch keine Lizenz? Dann Informieren Sie sich jetzt über unsere Produkte:

Springer Professional "Wirtschaft"

Online-Abonnement

Mit Springer Professional "Wirtschaft" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 340 Zeitschriften

aus folgenden Fachgebieten:

  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Versicherung + Risiko




Jetzt Wissensvorsprung sichern!

Springer Professional "Technik"

Online-Abonnement

Mit Springer Professional "Technik" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 390 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Maschinenbau + Werkstoffe




 

Jetzt Wissensvorsprung sichern!

Springer Professional "Wirtschaft+Technik"

Online-Abonnement

Mit Springer Professional "Wirtschaft+Technik" erhalten Sie Zugriff auf:

  • über 102.000 Bücher
  • über 537 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Maschinenbau + Werkstoffe
  • Versicherung + Risiko

Jetzt Wissensvorsprung sichern!

Literatur
1.
Zurück zum Zitat Das S, Doppa JR, Pande PP, et al. (2016) Energy-efficient and reliable 3D network-on-chip (NoC): architectures and optimization algorithms. In: International Conference on Computer-Aided Design Das S, Doppa JR, Pande PP, et al. (2016) Energy-efficient and reliable 3D network-on-chip (NoC): architectures and optimization algorithms. In: International Conference on Computer-Aided Design
2.
Zurück zum Zitat Benini L, Micheli GD (2002) Networks on chips: a New SoC paradigm. IEEE Comput 35(1):70–78CrossRef Benini L, Micheli GD (2002) Networks on chips: a New SoC paradigm. IEEE Comput 35(1):70–78CrossRef
3.
Zurück zum Zitat Sharma V, Agarwal R, Gaur MS et al. (2010) ERA: an efficient routing algorithm for power throughput and latency in network-on-chip. In: Journal of Lecture Notes in Computer Science. pp 481–490 Sharma V, Agarwal R, Gaur MS et al. (2010) ERA: an efficient routing algorithm for power throughput and latency in network-on-chip. In: Journal of Lecture Notes in Computer Science. pp 481–490
4.
Zurück zum Zitat Rahmani AM, Latif K, Liljeberg P, Plosila J, Tenhunen H (2011) A stacked mesh 3D NoC architecture enabling congestion-aware and reliable inter-layer communication. In: Proceedings of International Euromicro Conference on Parallel, Distributed and Network-Based Processing. pp 423–430 Rahmani AM, Latif K, Liljeberg P, Plosila J, Tenhunen H (2011) A stacked mesh 3D NoC architecture enabling congestion-aware and reliable inter-layer communication. In: Proceedings of International Euromicro Conference on Parallel, Distributed and Network-Based Processing. pp 423–430
5.
Zurück zum Zitat Bhandarkar SM, Arabnia HR (1995) The REFINE multiprocessor: theoretical properties and algorithms. Parallel Comput 21(11):1783–1806CrossRef Bhandarkar SM, Arabnia HR (1995) The REFINE multiprocessor: theoretical properties and algorithms. Parallel Comput 21(11):1783–1806CrossRef
6.
Zurück zum Zitat Arabnia HR, Smith JW (1993) A reconfigurable interconnection network for imaging operations and its implementation using a multi-stage switching box. In: Proceedings of the 7th Annual International High Performance Computing Conference. The 1993 High Performance Computing: New Horizons Supercomputing Symposium, Calgary, Alberta, Canada, June. pp 349–357 Arabnia HR, Smith JW (1993) A reconfigurable interconnection network for imaging operations and its implementation using a multi-stage switching box. In: Proceedings of the 7th Annual International High Performance Computing Conference. The 1993 High Performance Computing: New Horizons Supercomputing Symposium, Calgary, Alberta, Canada, June. pp 349–357
7.
Zurück zum Zitat Arif Wani M, Arabnia HR (2003) Parallel edge-region-based segmentation algorithm targeted at reconfigurable multi-ring network. J Supercomput 25(1):43–63CrossRefMATH Arif Wani M, Arabnia HR (2003) Parallel edge-region-based segmentation algorithm targeted at reconfigurable multi-ring network. J Supercomput 25(1):43–63CrossRefMATH
8.
Zurück zum Zitat Arabnia HR (1990) A parallel algorithm for the arbitrary rotation of digitized images using process-and-data-decomposition approach. J Parallel Distrib Comput 10(2):188–193CrossRef Arabnia HR (1990) A parallel algorithm for the arbitrary rotation of digitized images using process-and-data-decomposition approach. J Parallel Distrib Comput 10(2):188–193CrossRef
9.
Zurück zum Zitat Bhandarkar SM, Arabnia HR (1995) The hough transform on a reconfigurable multi-ring network. J Parallel Distrib Comput 24(1):107–114CrossRef Bhandarkar SM, Arabnia HR (1995) The hough transform on a reconfigurable multi-ring network. J Parallel Distrib Comput 24(1):107–114CrossRef
10.
Zurück zum Zitat Arabnia HR (1996) Distributed stereocorrelation algorithm. In: International Journal of Computer Communications. pp 707–712 Arabnia HR (1996) Distributed stereocorrelation algorithm. In: International Journal of Computer Communications. pp 707–712
11.
Zurück zum Zitat Cheng B, Fan J, Jia X et al (2013) Parallel construction of independent spanning trees and an application in diagnosis on Möbius cubes. J Supercomput 65(3):1279–1301CrossRef Cheng B, Fan J, Jia X et al (2013) Parallel construction of independent spanning trees and an application in diagnosis on Möbius cubes. J Supercomput 65(3):1279–1301CrossRef
12.
Zurück zum Zitat Liu Zhao, Fan Jianxi, Jia Xiaohua (2015) Embedding complete binary trees into parity cubes. J Supercomput 71(1):1–27CrossRef Liu Zhao, Fan Jianxi, Jia Xiaohua (2015) Embedding complete binary trees into parity cubes. J Supercomput 71(1):1–27CrossRef
13.
Zurück zum Zitat Yu Zhigang, Wang Xinyu, Shen Kele (2016) Conditional forwarding: simple flow control to increase adaptivity for fully adaptive routing algorithms. J Supercomput 72(2):639–653CrossRef Yu Zhigang, Wang Xinyu, Shen Kele (2016) Conditional forwarding: simple flow control to increase adaptivity for fully adaptive routing algorithms. J Supercomput 72(2):639–653CrossRef
14.
Zurück zum Zitat Rahmani A, Latif K (2012) ARB-NET: a novel adaptive monitoring platform for stacked mesh 3D NoC architectures. In: Proceedings of International Asia and South Pacific Conference on Design Automation (ASP-DAC). pp 413–418 Rahmani A, Latif K (2012) ARB-NET: a novel adaptive monitoring platform for stacked mesh 3D NoC architectures. In: Proceedings of International Asia and South Pacific Conference on Design Automation (ASP-DAC). pp 413–418
15.
Zurück zum Zitat Noghondar, Fadakar Amir, Reshadi Midia (2015) A low-cost and latency bypass channel-based on-chip network. J Supercomput 71(10):3770–3786CrossRef Noghondar, Fadakar Amir, Reshadi Midia (2015) A low-cost and latency bypass channel-based on-chip network. J Supercomput 71(10):3770–3786CrossRef
16.
Zurück zum Zitat Touzene Abderezak, Day Khaled (2015) All-to-all broadcasting in torus Network on chip. J Supercomput 71(7):2585–2596CrossRef Touzene Abderezak, Day Khaled (2015) All-to-all broadcasting in torus Network on chip. J Supercomput 71(7):2585–2596CrossRef
17.
Zurück zum Zitat Onizawa N, Matsumoto A, Funazaki T et al (2014) High-throughput compact delay-insensitive asynchronous noc router. IEEE Trans Comput 63(3):637–649MathSciNetCrossRefMATH Onizawa N, Matsumoto A, Funazaki T et al (2014) High-throughput compact delay-insensitive asynchronous noc router. IEEE Trans Comput 63(3):637–649MathSciNetCrossRefMATH
18.
Zurück zum Zitat Xin L, Choy CS (2012) A low-latency NoC router with lookahead bypass. In: IEEE International Symposium on Circuits and Systems Xin L, Choy CS (2012) A low-latency NoC router with lookahead bypass. In: IEEE International Symposium on Circuits and Systems
19.
Zurück zum Zitat Wang C et al (2013) Scalable load balancing congestion-aware Network-on-Chip router architecture. J Comput Syst Sci 79:421–439MathSciNetCrossRefMATH Wang C et al (2013) Scalable load balancing congestion-aware Network-on-Chip router architecture. J Comput Syst Sci 79:421–439MathSciNetCrossRefMATH
20.
Zurück zum Zitat Dally WJ (1990) Virtual-channel flow control. In: International Symposium on Computer Architecture (ISCA) Dally WJ (1990) Virtual-channel flow control. In: International Symposium on Computer Architecture (ISCA)
21.
Zurück zum Zitat Evripidou M, Nicopoulos C, Soteriou V, et al. (2012) Virtualizing virtual channels for increased network-on-chip robustness and upgradeability. In: IEEE Computer Society Annual Symposium (VLSI) Evripidou M, Nicopoulos C, Soteriou V, et al. (2012) Virtualizing virtual channels for increased network-on-chip robustness and upgradeability. In: IEEE Computer Society Annual Symposium (VLSI)
22.
Zurück zum Zitat Nicopoulos CA, Park D, Kim J, et al. (2006) ViChaR: a dynamic virtual channel regulator for network-on-chip routers. In: IEEE International Symposium on Microarchitecture Nicopoulos CA, Park D, Kim J, et al. (2006) ViChaR: a dynamic virtual channel regulator for network-on-chip routers. In: IEEE International Symposium on Microarchitecture
23.
Zurück zum Zitat Matos D, Concatto C, Kreutz M et al (2011) Reconfigurable routers for low power and high performance. IEEE Transactions on Very Large Scale Integration Systems 19(11):2045–2057CrossRef Matos D, Concatto C, Kreutz M et al (2011) Reconfigurable routers for low power and high performance. IEEE Transactions on Very Large Scale Integration Systems 19(11):2045–2057CrossRef
24.
Zurück zum Zitat Xu Y, Zhao B, Zhang Y, et al. (2010) Simple virtual channel allocation for high throughput and high frequency on-chip routers. In: International Symposium on High Performance Computer Architecture Xu Y, Zhao B, Zhang Y, et al. (2010) Simple virtual channel allocation for high throughput and high frequency on-chip routers. In: International Symposium on High Performance Computer Architecture
25.
Zurück zum Zitat Rahmani AM, Liljeberg P, Latif K et al. (2011) Congestion aware, fault tolerant, and thermally efficient inter-layer communication scheme for hybrid NoC-bus 3D architectures, NOCS. In: Fifth ACM/IEEE International Symposium on Networks-On-Chip, Pittsburgh, Pennsylvania. pp 65–72 Rahmani AM, Liljeberg P, Latif K et al. (2011) Congestion aware, fault tolerant, and thermally efficient inter-layer communication scheme for hybrid NoC-bus 3D architectures, NOCS. In: Fifth ACM/IEEE International Symposium on Networks-On-Chip, Pittsburgh, Pennsylvania. pp 65–72
26.
Zurück zum Zitat Ben-Itzhak Y, Cidon I, Kolodny A et al (2015) Heterogeneous NoC router architecture. IEEE Trans Parallel Distrib Syst 26(9):2479–2492CrossRef Ben-Itzhak Y, Cidon I, Kolodny A et al (2015) Heterogeneous NoC router architecture. IEEE Trans Parallel Distrib Syst 26(9):2479–2492CrossRef
27.
Zurück zum Zitat Jose J, Mahathi KV, Shankar JS, et al. (2012) TRACKER: a low overhead adaptive NoC router with load balancing selection strategy. In: IEEE/ACM International Conference on Computer-Aided Design Jose J, Mahathi KV, Shankar JS, et al. (2012) TRACKER: a low overhead adaptive NoC router with load balancing selection strategy. In: IEEE/ACM International Conference on Computer-Aided Design
28.
Zurück zum Zitat Oveisgharan M, Khan GN (2015) Index-based round-robin arbiter for NoC routers. In: IEEE Computer Society Annual Symposium Oveisgharan M, Khan GN (2015) Index-based round-robin arbiter for NoC routers. In: IEEE Computer Society Annual Symposium
29.
Zurück zum Zitat Tran AT, Baas BM (2014) Achieving high-performance on-chip networks with shared-buffer routers. IEEE Trans Very Large Scale Integr Syst 22(6):1391–1403CrossRef Tran AT, Baas BM (2014) Achieving high-performance on-chip networks with shared-buffer routers. IEEE Trans Very Large Scale Integr Syst 22(6):1391–1403CrossRef
Metadaten
Titel
A highly efficient dynamic router for application-oriented network on chip
verfasst von
Nan Su
Huaxi Gu
Kun Wang
Xiaoshan Yu
Bowen Zhang
Publikationsdatum
21.03.2018
Verlag
Springer US
Erschienen in
The Journal of Supercomputing / Ausgabe 7/2018
Print ISSN: 0920-8542
Elektronische ISSN: 1573-0484
DOI
https://doi.org/10.1007/s11227-018-2334-5

Weitere Artikel der Ausgabe 7/2018

The Journal of Supercomputing 7/2018 Zur Ausgabe