Skip to main content
Erschienen in: Journal of Electronic Testing 1/2022

10.03.2022

A Low-cost BIST Design Supporting Offline and Online Tests

verfasst von: Ahmad Menbari, Hadi Jahanirad

Erschienen in: Journal of Electronic Testing | Ausgabe 1/2022

Einloggen

Aktivieren Sie unsere intelligente Suche, um passende Fachinhalte oder Patente zu finden.

search-config
loading …

Abstract

Offline and online built-in self-test (BIST) designs are low-cost platforms to test very complex modern chips. The offline BIST design embeds the test pattern generator (TPG) into the chip to be activated in the test time. On the other hand, the online (or concurrent) BIST design eliminates the TPG and utilizes the system’s input vectors to accomplish the test process. This paper proposes a BIST design that supports both online and offline tests. In the online part of the design, a selector module passes the input vectors which belong to a pre-computed test set to the reduction part. The test set contains the test vectors, which generate 0 remainders in the division by the LFSR’s polynomial of the selector. In the concurrent test latency (CTL) aware design, the size of the test set is expanded by adopting the selecting part to select the test vectors which generate the same remainders in the division by two different polynomials. The internal TPG of the offline part is realized based on the HW-aware test set using the shifted versions of LFSR’s polynomial and XORing their contents. The reduction part compresses the widths of the current test vector and the related CUT outputs. The compactor LFSR compresses the test vectors so that the resulted remainders would be different for all test vectors to solve the masking problem. The small size of the test set and the compacting test vectors resulted in a tremendous reduction of hardware overhead. The proposed method imposes less than 6% and 28% hardware overhead for large size and very large size circuits, respectively. The simulation results for ISCAS 85, ISCAS 89, and ITC99 benchmark circuits showed that our proposed BIST design outperforms the previous state-of-the-art in both hardware overheads. Furthermore, the CTL reduces 100 times by the proposed CTL-aware approach on average.

Sie haben noch keine Lizenz? Dann Informieren Sie sich jetzt über unsere Produkte:

Springer Professional "Wirtschaft+Technik"

Online-Abonnement

Mit Springer Professional "Wirtschaft+Technik" erhalten Sie Zugriff auf:

  • über 102.000 Bücher
  • über 537 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Maschinenbau + Werkstoffe
  • Versicherung + Risiko

Jetzt Wissensvorsprung sichern!

Springer Professional "Technik"

Online-Abonnement

Mit Springer Professional "Technik" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 390 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Maschinenbau + Werkstoffe




 

Jetzt Wissensvorsprung sichern!

Springer Professional "Wirtschaft"

Online-Abonnement

Mit Springer Professional "Wirtschaft" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 340 Zeitschriften

aus folgenden Fachgebieten:

  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Versicherung + Risiko




Jetzt Wissensvorsprung sichern!

Weitere Produktempfehlungen anzeigen
Literatur
1.
Zurück zum Zitat Abramovici M, Breuer M, Friedman A (1990) Digital Systems Testing and Testable Design. Computer Science Press Abramovici M, Breuer M, Friedman A (1990) Digital Systems Testing and Testable Design. Computer Science Press
2.
Zurück zum Zitat Acevedo O, Kagaris D (2015) On the computation of LFSR characteristic polynomials for built-in deterministic test pattern generation. IEEE Trans Comput 65(2):664–669MathSciNetCrossRef Acevedo O, Kagaris D (2015) On the computation of LFSR characteristic polynomials for built-in deterministic test pattern generation. IEEE Trans Comput 65(2):664–669MathSciNetCrossRef
3.
Zurück zum Zitat Askarzadeh M, Haghparast M, Jabbehdari S (2021) "Power consumption reduction in built-in self-test circuits." J Ambient Intell Humaniz Comput 1–14 Askarzadeh M, Haghparast M, Jabbehdari S (2021) "Power consumption reduction in built-in self-test circuits." J Ambient Intell Humaniz Comput 1–14
4.
Zurück zum Zitat Biswas S, Das SR, Petriu EM (2006) Space compactor design in VLSI circuits based on graph theoretic concepts. IEEE Trans Instrum Meas 55(4):1106–1118CrossRef Biswas S, Das SR, Petriu EM (2006) Space compactor design in VLSI circuits based on graph theoretic concepts. IEEE Trans Instrum Meas 55(4):1106–1118CrossRef
5.
Zurück zum Zitat Divyapreethi B, Karthik T (2015) “Input Vector Monitoring Concurrent BIST Architecture using Modified SRAM Cells", ARPN. J Eng Appl Sci 10(9):4042–4046 Divyapreethi B, Karthik T (2015) “Input Vector Monitoring Concurrent BIST Architecture using Modified SRAM Cells", ARPN. J Eng Appl Sci 10(9):4042–4046
6.
Zurück zum Zitat Efanov DV, Sapozhnikov VV, Sapozhnikov VV (2017) "Conditions for detecting a logical element fault in a combination device under concurrent checking based on Berger’s code." Autom Remote Control 78(5):891–901 Efanov DV, Sapozhnikov VV, Sapozhnikov VV (2017) "Conditions for detecting a logical element fault in a combination device under concurrent checking based on Berger’s code." Autom Remote Control 78(5):891–901
7.
Zurück zum Zitat Emara AS, Romanov D, Roberts GW, Aouini S, Ziabakhsh S, Parvizi M, Ben-Hamida N (2021) "An Area-Efficient High-Resolution Segmented Σ Δ-DAC for Built-In Self-Test Applications." IEEE Trans Very Large Scale Integr VLSI Syst 29(11):1861-1874 Emara AS, Romanov D, Roberts GW, Aouini S, Ziabakhsh S, Parvizi M, Ben-Hamida N (2021) "An Area-Efficient High-Resolution Segmented Σ Δ-DAC for Built-In Self-Test Applications." IEEE Trans Very Large Scale Integr VLSI Syst 29(11):1861-1874
8.
Zurück zum Zitat Floridia A, Mongano G, Piumatti D, Sanchez E (2019) "Hybrid online self-test architecture for computational units on embedded processor cores." In 2019 IEEE 22nd International Symposium on Design and Diagnostics of Electronic Circuits & Systems (DDECS) 1–6. IEEE. Floridia A, Mongano G, Piumatti D, Sanchez E (2019) "Hybrid online self-test architecture for computational units on embedded processor cores." In 2019 IEEE 22nd International Symposium on Design and Diagnostics of Electronic Circuits & Systems (DDECS) 1–6. IEEE.
9.
Zurück zum Zitat Jahanirad H (2019) Efficient reliability evaluation of combinational and sequential logic circuits. J Comput Electron 18(1):343–355CrossRef Jahanirad H (2019) Efficient reliability evaluation of combinational and sequential logic circuits. J Comput Electron 18(1):343–355CrossRef
10.
Zurück zum Zitat Jahanirad H, Karam H (2017) BIST-based Testing and Diagnosis of LUTs in SRAM-based FPGAs. Emerging Science Journal 1(4):216–225 Jahanirad H, Karam H (2017) BIST-based Testing and Diagnosis of LUTs in SRAM-based FPGAs. Emerging Science Journal 1(4):216–225
11.
Zurück zum Zitat Jahanirad H, Karam H (2018) "BIST-Based Online Test Approach for SRAM-Based FPGAs." In Proc. Iranian Conf. Electrical Engineering (ICEE), pp. 178–183 Jahanirad H, Karam H (2018) "BIST-Based Online Test Approach for SRAM-Based FPGAs." In Proc. Iranian Conf. Electrical Engineering (ICEE), pp. 178–183
12.
Zurück zum Zitat Jurj SL, Rotar R, Opritoiu F, Vladutiu M (2020) "Online Built-In Self-Test Architecture for Automated Testing of a Solar Tracking Equipment." In Proc. IEEE International Conference on Environment and Electrical Engineering and IEEE Industrial and Commercial Power Systems Europe (EEEIC/I&CPS Europe), pp. 1–7 Jurj SL, Rotar R, Opritoiu F, Vladutiu M (2020) "Online Built-In Self-Test Architecture for Automated Testing of a Solar Tracking Equipment." In Proc. IEEE International Conference on Environment and Electrical Engineering and IEEE Industrial and Commercial Power Systems Europe (EEEIC/I&CPS Europe), pp. 1–7
13.
Zurück zum Zitat Kochte MA, Zoellin CG, Wunderlich H-J (2010) Efficient Concurrent Self-Test with Partially Specified Patterns. Journal of Electric Testing 26(5):581–594CrossRef Kochte MA, Zoellin CG, Wunderlich H-J (2010) Efficient Concurrent Self-Test with Partially Specified Patterns. Journal of Electric Testing 26(5):581–594CrossRef
14.
Zurück zum Zitat Martínez LH, Khursheed SS, Reddy SM (2020) "LFSR generation for high test coverage and low hardware overhead." IET Computers & Digital Techniques 14(1):27–36 Martínez LH, Khursheed SS, Reddy SM (2020) "LFSR generation for high test coverage and low hardware overhead." IET Computers & Digital Techniques 14(1):27–36
15.
Zurück zum Zitat Murugan SV, Sathiyabhama B (2021) "Bit-swapping linear feedback shift register (LFSR) for power reduction using pre-charged XOR with multiplexer technique is built-in self-test." J Ambient Intell Humaniz Comput 12(6): 6367–6373 Murugan SV, Sathiyabhama B (2021) "Bit-swapping linear feedback shift register (LFSR) for power reduction using pre-charged XOR with multiplexer technique is built-in self-test." J Ambient Intell Humaniz Comput 12(6): 6367–6373
16.
Zurück zum Zitat Nikitha SA, Paulin S, Venkateshwaran SP (2015) "A concurrent BIST architecture for online input vector monitoring." In Proc. International Conference on Science, Technology, and Management, pp. 1411–1488 Nikitha SA, Paulin S, Venkateshwaran SP (2015) "A concurrent BIST architecture for online input vector monitoring." In Proc. International Conference on Science, Technology, and Management, pp. 1411–1488
17.
Zurück zum Zitat Pavlidis A, Louërat, MM, Faehn E, Kumar A, Stratigopoulos HG (2020) "Symmetry-based A/MS BIST (SymBIST): Demonstration on a SAR ADC IP" In Proc. Design, Automation and Test in Europe Conference and Exhibition (DATE), pp. 282–285 Pavlidis A, Louërat, MM, Faehn E, Kumar A, Stratigopoulos HG (2020) "Symmetry-based A/MS BIST (SymBIST): Demonstration on a SAR ADC IP" In Proc. Design, Automation and Test in Europe Conference and Exhibition (DATE), pp. 282–285
18.
Zurück zum Zitat Pavlidis A, Louërat MM, Faehn E, Kumar A, Stratigopoulos HG (2021) "SymBIST: Symmetry-Based Analog and Mixed-Signal Built-In Self-Test for Functional Safety." IEEE Trans Circuits Syst I Regul Pap 68(6):2580–2593 Pavlidis A, Louërat MM, Faehn E, Kumar A,  Stratigopoulos HG (2021) "SymBIST: Symmetry-Based Analog and Mixed-Signal Built-In Self-Test for Functional Safety." IEEE Trans Circuits Syst I Regul Pap 68(6):2580–2593
19.
Zurück zum Zitat Roth J (1966) Paul, “Diagnosis of automata failures: A calculus and a method.” IBM J Res Dev 10(4):278–291CrossRef Roth J (1966) Paul, “Diagnosis of automata failures: A calculus and a method.” IBM J Res Dev 10(4):278–291CrossRef
20.
Zurück zum Zitat Saluja KK, Sharma R, Kime CR (1987) "Concurrent comparative testing using BIST resources." In Proc. International Conference on Comput Aided Des, pp. 336–339 Saluja KK, Sharma R, Kime CR (1987) "Concurrent comparative testing using BIST resources." In Proc. International Conference on Comput Aided Des, pp. 336–339
21.
Zurück zum Zitat Saluja KK, Sharma R, Kime CR (1987) Concurrent comparative built-in testing of digital circuits. University of Wisconsin, Engineering Experiment Station Saluja KK, Sharma R, Kime CR (1987) Concurrent comparative built-in testing of digital circuits. University of Wisconsin, Engineering Experiment Station
22.
Zurück zum Zitat Saluja KK, Sharma R, Kime CR (1988) “A concurrent testing technique for digital circuits”, IEEE Trans. Comput Aided Design Integr Circuits Syst 7(12):1250–1260CrossRef Saluja KK, Sharma R, Kime CR (1988) “A concurrent testing technique for digital circuits”, IEEE Trans. Comput Aided Design Integr Circuits Syst 7(12):1250–1260CrossRef
23.
Zurück zum Zitat Sharma R, Saluja KK (1993) Theory, analysis, and implementation of an online BIST technique. VLSI Design 1(1):9–22 Sharma R, Saluja KK (1993) Theory, analysis, and implementation of an online BIST technique. VLSI Design 1(1):9–22
24.
Zurück zum Zitat Shivakumar V, Senthilpari C, Yusoff Z (2021) A Low-Power and Area-Efficient Design of a Weighted Pseudorandom Test-Pattern Generator for a Test-Per-Scan Built-in Self-Test Architecture. IEEE Access 9:29366–29379CrossRef Shivakumar V, Senthilpari C, Yusoff Z (2021) A Low-Power and Area-Efficient Design of a Weighted Pseudorandom Test-Pattern Generator for a Test-Per-Scan Built-in Self-Test Architecture. IEEE Access 9:29366–29379CrossRef
25.
Zurück zum Zitat Voyiatzis I (2012) "Input Vector Monitoring Online Concurrent BIST based on multi-level decoding logic." In Proc. Design, Automation & Test in Europe Conference & Exhibition (DATE), pp. 1251–1256 Voyiatzis I (2012) "Input Vector Monitoring Online Concurrent BIST based on multi-level decoding logic." In Proc. Design, Automation & Test in Europe Conference & Exhibition (DATE), pp. 1251–1256
26.
Zurück zum Zitat Voyiatzis I, Efstathiou C (2013) Input vector monitoring concurrent BIST architecture using SRAM cells. IEEE Transactions on Very Large Scale Integration (VLSI) Systems 22(7):1625-1629 Voyiatzis I, Efstathiou C (2013) Input vector monitoring concurrent BIST architecture using SRAM cells. IEEE Transactions on Very Large Scale Integration (VLSI) Systems 22(7):1625-1629
27.
Zurück zum Zitat Voyiatzis I, Halatsis C (2005) "A Low-Cost Concurrent BIST Scheme for Increased Dependability," IEEE Trans Dependable Secure Comput 2(2):150-156 Voyiatzis I, Halatsis C (2005) "A Low-Cost Concurrent BIST Scheme for Increased Dependability," IEEE Trans Dependable Secure Comput 2(2):150-156
28.
Zurück zum Zitat Voyiatzis I, Paschalis A, Gizopoulos D, Halatsis C, Makri FS, Hatzimihail M (2008) An input vector monitoring concurrent BIST architecture based on a pre-computed test set. IEEE Trans Comput 57(8):1012–1022MathSciNetCrossRef Voyiatzis I, Paschalis A, Gizopoulos D, Halatsis C, Makri FS, Hatzimihail M (2008) An input vector monitoring concurrent BIST architecture based on a pre-computed test set. IEEE Trans Comput 57(8):1012–1022MathSciNetCrossRef
29.
Zurück zum Zitat Voyiatzis I, Paschalis A, Gizopoulos D, Kranitis N, Halatsis C (2005) A Concurrent Built-In Self Test Architecture Based on a Self-Testing RAM. IEEE Trans Reliability 54(1):69–78CrossRef Voyiatzis I, Paschalis A, Gizopoulos D, Kranitis N, Halatsis C (2005) A Concurrent Built-In Self Test Architecture Based on a Self-Testing RAM. IEEE Trans Reliability 54(1):69–78CrossRef
30.
Zurück zum Zitat Wang R, Chakrabarty K, Bhawmik S (2015) Built-in self-test and test scheduling for interposer-based 2.5 D IC. ACM Transactions on Design Automation of Electronic Systems (TODAES) 20(4):1–24CrossRef Wang R, Chakrabarty K, Bhawmik S (2015) Built-in self-test and test scheduling for interposer-based 2.5 D IC. ACM Transactions on Design Automation of Electronic Systems (TODAES) 20(4):1–24CrossRef
31.
Zurück zum Zitat Wang C-H, Hsieh T-Y (2017) On the probability of detection lossless concurrent error detection based on implications. IEEE Trans Comput Aided Des Integr Circuits Syst 37(5):1090–1103 Wang C-H, Hsieh T-Y (2017) On the probability of detection lossless concurrent error detection based on implications. IEEE Trans Comput Aided Des Integr Circuits Syst 37(5):1090–1103
32.
Zurück zum Zitat Wu TB, Liu HZ, Liu P.X, Guo DS, Sun HM (2013) A cost-efficient input vector monitoring concurrent online BIST scheme based on multi-level decoding logic. J Electron Test 29(4):585–600 Wu TB, Liu HZ, Liu P.X, Guo DS, Sun HM (2013) A cost-efficient input vector monitoring concurrent online BIST scheme based on multi-level decoding logic. J Electron Test 29(4):585–600
Metadaten
Titel
A Low-cost BIST Design Supporting Offline and Online Tests
verfasst von
Ahmad Menbari
Hadi Jahanirad
Publikationsdatum
10.03.2022
Verlag
Springer US
Erschienen in
Journal of Electronic Testing / Ausgabe 1/2022
Print ISSN: 0923-8174
Elektronische ISSN: 1573-0727
DOI
https://doi.org/10.1007/s10836-022-05986-0

Weitere Artikel der Ausgabe 1/2022

Journal of Electronic Testing 1/2022 Zur Ausgabe

EditorialNotes

Editorial

Announcement

2021 Reviewers

Neuer Inhalt