Skip to main content
Erschienen in: The Journal of Supercomputing 2/2018

20.09.2017

A performance study of the time-varying cache behavior: a study on APEX, Mantevo, NAS, and PARSEC

verfasst von: Nafiul A. Siddique, Patricia A. Grubel, Abdel-Hameed A. Badawy, Jeanine Cook

Erschienen in: The Journal of Supercomputing | Ausgabe 2/2018

Einloggen

Aktivieren Sie unsere intelligente Suche um passende Fachinhalte oder Patente zu finden.

search-config
loading …

Abstract

Cache has long been used to minimize the latency of main memory accesses by storing frequently used data near the processor. Processor performance depends on the underlying cache performance. Therefore, significant research has been done to identify the most crucial metrics of cache performance. Although the majority of research focuses on measuring cache hit rates and data movement as the primary cache performance metrics, cache utilization is significantly important. We investigate the application’s locality using cache utilization metrics. Furthermore, we present cache utilization and traditional cache performance metrics as the program progresses providing detailed insights into the dynamic application behavior on parallel applications from four benchmark suites running on multiple cores. We explore cache utilization for APEX, Mantevo, NAS, and PARSEC, mostly scientific benchmark suites. Our results indicate that 40% of the data bytes in a cache line are accessed at least once before line eviction. Also, on average a byte is accessed two times before the cache line is evicted for these applications. Moreover, we present runtime cache utilization, as well as, conventional performance metrics that illustrate a holistic understanding of cache behavior. To facilitate this research, we build a memory simulator incorporated into the Structural Simulation Toolkit (Rodrigues et al. in SIGMETRICS Perform Eval Rev 38(4):37–42, 2011). Our results suggest that variable cache line size can result in better performance and can also conserve power.

Sie haben noch keine Lizenz? Dann Informieren Sie sich jetzt über unsere Produkte:

Springer Professional "Wirtschaft"

Online-Abonnement

Mit Springer Professional "Wirtschaft" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 340 Zeitschriften

aus folgenden Fachgebieten:

  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Versicherung + Risiko




Jetzt Wissensvorsprung sichern!

Springer Professional "Technik"

Online-Abonnement

Mit Springer Professional "Technik" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 390 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Maschinenbau + Werkstoffe




 

Jetzt Wissensvorsprung sichern!

Springer Professional "Wirtschaft+Technik"

Online-Abonnement

Mit Springer Professional "Wirtschaft+Technik" erhalten Sie Zugriff auf:

  • über 102.000 Bücher
  • über 537 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Maschinenbau + Werkstoffe
  • Versicherung + Risiko

Jetzt Wissensvorsprung sichern!

Literatur
1.
Zurück zum Zitat Rodrigues AF, Hemmert KS, Barrett BW, Kersey C, Oldfield R, Weston M, Risen R, Cook J, Rosenfeld P, CooperBalls E, Jacob B (2011) The structural simulation toolkit. SIGMETRICS Perform Eval Rev 38(4):37–42CrossRef Rodrigues AF, Hemmert KS, Barrett BW, Kersey C, Oldfield R, Weston M, Risen R, Cook J, Rosenfeld P, CooperBalls E, Jacob B (2011) The structural simulation toolkit. SIGMETRICS Perform Eval Rev 38(4):37–42CrossRef
2.
Zurück zum Zitat Wulf WA, McKee SA (1995) Hitting the memory wall: implications of the obvious. ACM SIGARCH Comput Archit News 23(1):20–24CrossRef Wulf WA, McKee SA (1995) Hitting the memory wall: implications of the obvious. ACM SIGARCH Comput Archit News 23(1):20–24CrossRef
3.
Zurück zum Zitat Hennessy JL, Patterson DA (2011) Computer architecture: a quantitative approach, 5th edn. Morgan Kaufmann Publishers Inc., San FranciscoMATH Hennessy JL, Patterson DA (2011) Computer architecture: a quantitative approach, 5th edn. Morgan Kaufmann Publishers Inc., San FranciscoMATH
4.
Zurück zum Zitat Siddique NA, Grubel P, Badawy AHA and Cook J (2016) Cache utilization as a locality metric—a case study on the mantevo suite. In: 2016 International Conference on Computational Science and Computational Intelligence (CSCI), pp 549–554 Siddique NA, Grubel P, Badawy AHA and Cook J (2016) Cache utilization as a locality metric—a case study on the mantevo suite. In: 2016 International Conference on Computational Science and Computational Intelligence (CSCI), pp 549–554
5.
Zurück zum Zitat Ramaswamy S and Yalamanchili S (2008) An utilization driven framework for energy efficient caches. In: Proceedings of the 15th International Conference on High Performance Computing, ser. HiPC’08, Springer, Berlin, Heidelberg, pp 583–594 Ramaswamy S and Yalamanchili S (2008) An utilization driven framework for energy efficient caches. In: Proceedings of the 15th International Conference on High Performance Computing, ser. HiPC’08, Springer, Berlin, Heidelberg, pp 583–594
6.
Zurück zum Zitat Kumar S, Zhao H, Shriraman A, Matthews E, Dwarkadas S and Shannon L (2012) Amoeba-cache: adaptive blocks for eliminating waste in the memory hierarchy. In: MICRO, IEEE Computer Society, pp 376–388 Kumar S, Zhao H, Shriraman A, Matthews E, Dwarkadas S and Shannon L (2012) Amoeba-cache: adaptive blocks for eliminating waste in the memory hierarchy. In: MICRO, IEEE Computer Society, pp 376–388
7.
Zurück zum Zitat Kumar S and Wilkerson C (1998) Exploiting spatial locality in data caches using spatial footprints. In: Proceedings of the 25th Annual International Symposium on Computer Architecture, ser. ISCA ’98, IEEE Computer Society, Washington, pp 357–368 Kumar S and Wilkerson C (1998) Exploiting spatial locality in data caches using spatial footprints. In: Proceedings of the 25th Annual International Symposium on Computer Architecture, ser. ISCA ’98, IEEE Computer Society, Washington, pp 357–368
8.
Zurück zum Zitat Srinivasan JR (2011) Improving cache utilisation. University of Cambridge, Cambridge Srinivasan JR (2011) Improving cache utilisation. University of Cambridge, Cambridge
9.
Zurück zum Zitat Todi R (2001) Speclite: using representative samples to reduce spec cpu2000 workload. In: Proceedings of the Fourth Annual IEEE International Workshop on Workload Characterization. WWC-4 (Cat. No.01EX538) Todi R (2001) Speclite: using representative samples to reduce spec cpu2000 workload. In: Proceedings of the Fourth Annual IEEE International Workshop on Workload Characterization. WWC-4 (Cat. No.01EX538)
10.
Zurück zum Zitat Sherwood T, Perelman E, Calder B (2001) Basic block distribution analysis to find periodic behavior and simulation points in applications. In: Proceedings 2001 International Conference on Parallel Architectures and Compilation Techniques, pp 3–14 Sherwood T, Perelman E, Calder B (2001) Basic block distribution analysis to find periodic behavior and simulation points in applications. In: Proceedings 2001 International Conference on Parallel Architectures and Compilation Techniques, pp 3–14
11.
Zurück zum Zitat Patil H, Cohn R, Charney M, Kapoor R, Sun A and Karunanidhi A (2004) Pinpointing representative portions of large intel\(\textregistered \)itanium\(\textregistered \)programs with dynamic instrumentation. In: Proceedings of the 37th Annual IEEE/ACM International Symposium on Microarchitecture, ser. MICRO 37, IEEE Computer Society, Washington, pp 81–92. [Online]. http://dx.doi.org/10.1109/MICRO.2004.28 Patil H, Cohn R, Charney M, Kapoor R, Sun A and Karunanidhi A (2004) Pinpointing representative portions of large intel\(\textregistered \)itanium\(\textregistered \)programs with dynamic instrumentation. In: Proceedings of the 37th Annual IEEE/ACM International Symposium on Microarchitecture, ser. MICRO 37, IEEE Computer Society, Washington, pp 81–92. [Online]. http://​dx.​doi.​org/​10.​1109/​MICRO.​2004.​28
12.
Zurück zum Zitat Luk C-K, Cohn R, Muth R, Patil H, Klauser A, Lowney G, Wallace S, Reddi VJ and Hazelwood K (2005) Pin: building customized program analysis tools with dynamic instrumentation. In: Proceedings of the 2005 ACM SIGPLAN Conference on Programming Language Design and Implementation, ser. PLDI ’05, ACM, New York, pp 190–200 Luk C-K, Cohn R, Muth R, Patil H, Klauser A, Lowney G, Wallace S, Reddi VJ and Hazelwood K (2005) Pin: building customized program analysis tools with dynamic instrumentation. In: Proceedings of the 2005 ACM SIGPLAN Conference on Programming Language Design and Implementation, ser. PLDI ’05, ACM, New York, pp 190–200
13.
Zurück zum Zitat Qayum M, Badawy A-H and Cook J (2017) Dadhtm: Low overhead dynamically adaptive hardware transactional memory for large graphs—a scalability study. In: Proceedings of 17th IEEE International Conference on Scalable Computing and Communications (ScalCom). IEEE Qayum M, Badawy A-H and Cook J (2017) Dadhtm: Low overhead dynamically adaptive hardware transactional memory for large graphs—a scalability study. In: Proceedings of 17th IEEE International Conference on Scalable Computing and Communications (ScalCom). IEEE
14.
Zurück zum Zitat Weinberg J, McCracken MO, Strohmaier E and Snavely A (2005) Quantifying locality in the memory access patterns of hpc applications. In: Proceedings of the 2005 ACM/IEEE Conference on Supercomputing, ser. SC ’05, IEEE Computer Society, Washington, p 50. [Online]. https://doi.org/10.1109/SC.2005.59 Weinberg J, McCracken MO, Strohmaier E and Snavely A (2005) Quantifying locality in the memory access patterns of hpc applications. In: Proceedings of the 2005 ACM/IEEE Conference on Supercomputing, ser. SC ’05, IEEE Computer Society, Washington, p 50. [Online]. https://​doi.​org/​10.​1109/​SC.​2005.​59
15.
16.
Zurück zum Zitat Heroux MA, Doerfler DW, Crozier PS, Willenbring JM, Edwards HC, Williams A, Rajan M, Keiter ER, Thornquist HK and Numrich RW (2009) Improving Performance via Mini-applications, Sandia National Laboratories, Technical Report SAND2009-5574, [Online]. https://mantevo.org/packages/ Heroux MA, Doerfler DW, Crozier PS, Willenbring JM, Edwards HC, Williams A, Rajan M, Keiter ER, Thornquist HK and Numrich RW (2009) Improving Performance via Mini-applications, Sandia National Laboratories, Technical Report SAND2009-5574, [Online]. https://​mantevo.​org/​packages/​
17.
Zurück zum Zitat Bailey DH, Barszcz E, Barton JT, Browning DS, Carter RL, Dagum L, Fatoohi RA, Frederickson PO, Lasinski TA, Schreiber RS, Simon HD, Venkatakrishnan V and Weeratunga SK (1991) The nas parallel benchmarks—summary and preliminary results. In: Proceedings of the 1991 ACM/IEEE Conference on Supercomputing, ser. Supercomputing ’91, ACM, New York, pp 158–165. [Online]. http://doi.acm.org/10.1145/125826.125925 Bailey DH, Barszcz E, Barton JT, Browning DS, Carter RL, Dagum L, Fatoohi RA, Frederickson PO, Lasinski TA, Schreiber RS, Simon HD, Venkatakrishnan V and Weeratunga SK (1991) The nas parallel benchmarks—summary and preliminary results. In: Proceedings of the 1991 ACM/IEEE Conference on Supercomputing, ser. Supercomputing ’91, ACM, New York, pp 158–165. [Online]. http://​doi.​acm.​org/​10.​1145/​125826.​125925
18.
Zurück zum Zitat Bienia C, Kumar S, Singh JP and Li K (2008) The parsec benchmark suite: characterization and architectural implications. In: Proceedings of the 17th International Conference on Parallel Architectures and Compilation Techniques, ser. PACT ’08, ACM, New York, pp 72–81. [Online]. http://doi.acm.org/10.1145/1454115.1454128 Bienia C, Kumar S, Singh JP and Li K (2008) The parsec benchmark suite: characterization and architectural implications. In: Proceedings of the 17th International Conference on Parallel Architectures and Compilation Techniques, ser. PACT ’08, ACM, New York, pp 72–81. [Online]. http://​doi.​acm.​org/​10.​1145/​1454115.​1454128
19.
Zurück zum Zitat Banakar R, Steinke S, Lee B-S, Balakrishnan M and Marwedel P (2002) Scratchpad memory: design alternative for cache on-chip memory in embedded systems. In: Proceedings of the Tenth International Symposium on Hardware/Software Codesign, ser. CODES ’02, ACM, New York, pp 73–78 Banakar R, Steinke S, Lee B-S, Balakrishnan M and Marwedel P (2002) Scratchpad memory: design alternative for cache on-chip memory in embedded systems. In: Proceedings of the Tenth International Symposium on Hardware/Software Codesign, ser. CODES ’02, ACM, New York, pp 73–78
20.
Zurück zum Zitat Hoste K and Eeckhout L (2006) Comparing benchmarks using key microarchitecture-independent characteristics. In: 2006 IEEE International Symposium on Workload Characterization, pp 83–92 Hoste K and Eeckhout L (2006) Comparing benchmarks using key microarchitecture-independent characteristics. In: 2006 IEEE International Symposium on Workload Characterization, pp 83–92
21.
23.
Zurück zum Zitat Panda R and John LK (2014) Data analytics workloads: characterization and similarity analysis. In: 2014 IEEE 33rd International Performance Computing and Communications Conference (IPCCC), pp 1–9 Panda R and John LK (2014) Data analytics workloads: characterization and similarity analysis. In: 2014 IEEE 33rd International Performance Computing and Communications Conference (IPCCC), pp 1–9
24.
Zurück zum Zitat Seo S, Jo G, Lee J (2011) Performance characterization of the nas parallel benchmarks in opencl. In: 2011 IEEE International Symposium on Workload Characterization (IISWC), pp 137–148 Seo S, Jo G, Lee J (2011) Performance characterization of the nas parallel benchmarks in opencl. In: 2011 IEEE International Symposium on Workload Characterization (IISWC), pp 137–148
25.
Zurück zum Zitat Coplin J and Burtscher M (2016) Energy, power, and performance characterization of gpgpu benchmark programs. In: 2016 IEEE International Parallel and Distributed Processing Symposium Workshops (IPDPSW), pp 1190–1199 Coplin J and Burtscher M (2016) Energy, power, and performance characterization of gpgpu benchmark programs. In: 2016 IEEE International Parallel and Distributed Processing Symposium Workshops (IPDPSW), pp 1190–1199
26.
Zurück zum Zitat Peachey J, Bunt R and Colbourn C (1983) Towards an intrinsic measure of program locality. In: Berg H, Howden W, Panko R, Sprague R, Shriver B, Walker T, Cousins T (eds) Proceedings of the Hawaii International Conference on System Science, pp 128–137 Peachey J, Bunt R and Colbourn C (1983) Towards an intrinsic measure of program locality. In: Berg H, Howden W, Panko R, Sprague R, Shriver B, Walker T, Cousins T (eds) Proceedings of the Hawaii International Conference on System Science, pp 128–137
27.
Zurück zum Zitat Beyls K and DHollander EH (2001) Reuse distance as a metric for cache behavior. In: Proceedings of The Iasted Conference on Parallel and Distributed Computing and Systems, pp 617–662 Beyls K and DHollander EH (2001) Reuse distance as a metric for cache behavior. In: Proceedings of The Iasted Conference on Parallel and Distributed Computing and Systems, pp 617–662
28.
30.
Zurück zum Zitat Torrellas J, Lam HS, Hennessy JL (1994) False sharing and spatial locality in multiprocessor caches. IEEE Trans Comput 43(6):651–663CrossRefMATH Torrellas J, Lam HS, Hennessy JL (1994) False sharing and spatial locality in multiprocessor caches. IEEE Trans Comput 43(6):651–663CrossRefMATH
32.
Zurück zum Zitat Mattson RL, Gecsei J, Slutz DR, Traiger IL (1970) Evaluation techniques for storage hierarchies. IBM Syst J 9(2):78–117CrossRefMATH Mattson RL, Gecsei J, Slutz DR, Traiger IL (1970) Evaluation techniques for storage hierarchies. IBM Syst J 9(2):78–117CrossRefMATH
33.
Zurück zum Zitat Alkohlani W and Cook J (2012) Towards performance predictive application-dependent workload characterization. In: High Performance Computing, Networking, Storage and Analysis (SCC), 2012 SC Companion, pp 426–436 Alkohlani W and Cook J (2012) Towards performance predictive application-dependent workload characterization. In: High Performance Computing, Networking, Storage and Analysis (SCC), 2012 SC Companion, pp 426–436
34.
Zurück zum Zitat Bird S, Phansalkar A, John LK, Mercas A and Idukuru R (2007) Performance characterization of SPEC CPU benchmarks on Intel’s Core microarchitecture based processor. In: SPEC Benchmark Workshop Bird S, Phansalkar A, John LK, Mercas A and Idukuru R (2007) Performance characterization of SPEC CPU benchmarks on Intel’s Core microarchitecture based processor. In: SPEC Benchmark Workshop
35.
Zurück zum Zitat Conte TM, Hwu W-MW (1991) Benchmark characterization. Computer 24(1):48–56CrossRef Conte TM, Hwu W-MW (1991) Benchmark characterization. Computer 24(1):48–56CrossRef
36.
Zurück zum Zitat Li S, Qiao L, Tang Z, Cheng B and Gao X (2009) Performance characterization of spec cpu2006 benchmarks on intel and amd platform. In: Education Technology and Computer Science, 2009. ETCS ’09. First International Workshop on, vol 2, pp 116–121 Li S, Qiao L, Tang Z, Cheng B and Gao X (2009) Performance characterization of spec cpu2006 benchmarks on intel and amd platform. In: Education Technology and Computer Science, 2009. ETCS ’09. First International Workshop on, vol 2, pp 116–121
37.
Zurück zum Zitat Poovey J, Conte T, Levy M, Gal-On S (2009) A benchmark characterization of the eembc benchmark suite. Micro IEEE 29(5):18–29CrossRef Poovey J, Conte T, Levy M, Gal-On S (2009) A benchmark characterization of the eembc benchmark suite. Micro IEEE 29(5):18–29CrossRef
38.
Zurück zum Zitat Phansalkar A, Joshi A, John LK (2007) Analysis of redundancy and application balance in the spec cpu2006 benchmark suite. SIGARCH Comput Archit News 35(2):412–423CrossRef Phansalkar A, Joshi A, John LK (2007) Analysis of redundancy and application balance in the spec cpu2006 benchmark suite. SIGARCH Comput Archit News 35(2):412–423CrossRef
39.
Zurück zum Zitat Phansalkar A, Joshi A, John L (2007) Subsetting the spec cpu2006 benchmark suite. SIGARCH Comput Archit News 35(1):69–76CrossRef Phansalkar A, Joshi A, John L (2007) Subsetting the spec cpu2006 benchmark suite. SIGARCH Comput Archit News 35(1):69–76CrossRef
40.
Zurück zum Zitat Conway P, Kalyanasundharam N, Donley G, Lepak K, Hughes B (2010) Cache hierarchy and memory subsystem of the amd opteron processor. Micro IEEE 30(2):16–29CrossRef Conway P, Kalyanasundharam N, Donley G, Lepak K, Hughes B (2010) Cache hierarchy and memory subsystem of the amd opteron processor. Micro IEEE 30(2):16–29CrossRef
41.
Zurück zum Zitat Ye D, Ray J, Harle C and Kaeli D (2006) Performance characterization of spec cpu2006 integer benchmarks on x86-64 architecture. In: 2006 IEEE International Symposium on Workload Characterization, pp 120–127 Ye D, Ray J, Harle C and Kaeli D (2006) Performance characterization of spec cpu2006 integer benchmarks on x86-64 architecture. In: 2006 IEEE International Symposium on Workload Characterization, pp 120–127
42.
Zurück zum Zitat Siddique NA, Grubel PA and Badawy A-H (2017) The time-varying nature of cache utilization a case study on the mantevo and apex benchmarks. In: To Appear in Proceedings of the 14th IEEE Conference on Advanced and Trusted Computing (ATC 2017), San Francisco Siddique NA, Grubel PA and Badawy A-H (2017) The time-varying nature of cache utilization a case study on the mantevo and apex benchmarks. In: To Appear in Proceedings of the 14th IEEE Conference on Advanced and Trusted Computing (ATC 2017), San Francisco
43.
Zurück zum Zitat Alkohlani W, Cook J, Siddique N (2015) Insight into application performance using application-dependent characteristics. In: Jarvis SA, Wright SA, Hammond SD (eds) High performance computing systems. performance modeling, benchmarking, and simulation. Lecture notes in computer science. Springer, Berlin, pp 107–128 Alkohlani W, Cook J, Siddique N (2015) Insight into application performance using application-dependent characteristics. In: Jarvis SA, Wright SA, Hammond SD (eds) High performance computing systems. performance modeling, benchmarking, and simulation. Lecture notes in computer science. Springer, Berlin, pp 107–128
46.
Zurück zum Zitat Nai L, Xia Y, Tanase IG, Kim H and Lin C-Y (2015) Graphbig: understanding graph computing in the context of industrial solutions. In: Proceedings of the International Conference for High Performance Computing, Networking, Storage and Analysis, ser. SC ’15, ACM, New York, pp 69:1–69:12. [Online]. http://doi.acm.org/10.1145/2807591.2807626 Nai L, Xia Y, Tanase IG, Kim H and Lin C-Y (2015) Graphbig: understanding graph computing in the context of industrial solutions. In: Proceedings of the International Conference for High Performance Computing, Networking, Storage and Analysis, ser. SC ’15, ACM, New York, pp 69:1–69:12. [Online]. http://​doi.​acm.​org/​10.​1145/​2807591.​2807626
47.
Zurück zum Zitat Siddique NA, Badawy AHA, Cook J and Resnick D (2016) Lmstr: local memory store the case for hardware controlled scratchpad memory for general purpose processors. In: 2016 IEEE 35th International Performance Computing and Communications Conference (IPCCC), pp 1–8 Siddique NA, Badawy AHA, Cook J and Resnick D (2016) Lmstr: local memory store the case for hardware controlled scratchpad memory for general purpose processors. In: 2016 IEEE 35th International Performance Computing and Communications Conference (IPCCC), pp 1–8
48.
Zurück zum Zitat Siddique NA, Badawy A-HA, Cook J and Resnick D (2017) Local memory store (lmstr): a hardware controlled shared scratchpad for multicores. In: To Appear in Proceedings of the 14th IEEE Conference on Advanced and Trusted Computing (ATC 2017), San Francisco Siddique NA, Badawy A-HA, Cook J and Resnick D (2017) Local memory store (lmstr): a hardware controlled shared scratchpad for multicores. In: To Appear in Proceedings of the 14th IEEE Conference on Advanced and Trusted Computing (ATC 2017), San Francisco
49.
Zurück zum Zitat Siddique NA, Badawy AHA, Cook J and Resnick D (2017) Lmstr: exploring shared hardware controlled scratchpad memory for multicores. In: To Appear in Proceedings of the International Symposium on Memory Systems 2017 (MEMSYS17), Virginia Siddique NA, Badawy AHA, Cook J and Resnick D (2017) Lmstr: exploring shared hardware controlled scratchpad memory for multicores. In: To Appear in Proceedings of the International Symposium on Memory Systems 2017 (MEMSYS17), Virginia
Metadaten
Titel
A performance study of the time-varying cache behavior: a study on APEX, Mantevo, NAS, and PARSEC
verfasst von
Nafiul A. Siddique
Patricia A. Grubel
Abdel-Hameed A. Badawy
Jeanine Cook
Publikationsdatum
20.09.2017
Verlag
Springer US
Erschienen in
The Journal of Supercomputing / Ausgabe 2/2018
Print ISSN: 0920-8542
Elektronische ISSN: 1573-0484
DOI
https://doi.org/10.1007/s11227-017-2144-1

Weitere Artikel der Ausgabe 2/2018

The Journal of Supercomputing 2/2018 Zur Ausgabe