Skip to main content

2018 | OriginalPaper | Buchkapitel

A Radiation-Immune Low-Jitter High-Frequency PLL for SerDes

verfasst von : Hengzhou Yuan, Jianjun Chen, Bin Liang, Yang Guo

Erschienen in: Computer Engineering and Technology

Verlag: Springer Singapore

Aktivieren Sie unsere intelligente Suche, um passende Fachinhalte oder Patente zu finden.

search-config
loading …

Abstract

A radiation-tolerant phase-locked loop (PLL) is designed in 65 nm CMOS technology. A double feedback loop self-sampling structure is proposed to improve the anti-radiation capability. A High matched current-based charge pump is hardened by using sensitive nodes compression and transformation technology. The simulation results show that the proposed PLL has no significant variations under heavy-ion and it could output good jitter signals with high frequency.

Sie haben noch keine Lizenz? Dann Informieren Sie sich jetzt über unsere Produkte:

Springer Professional "Wirtschaft+Technik"

Online-Abonnement

Mit Springer Professional "Wirtschaft+Technik" erhalten Sie Zugriff auf:

  • über 102.000 Bücher
  • über 537 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Maschinenbau + Werkstoffe
  • Versicherung + Risiko

Jetzt Wissensvorsprung sichern!

Springer Professional "Technik"

Online-Abonnement

Mit Springer Professional "Technik" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 390 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Maschinenbau + Werkstoffe




 

Jetzt Wissensvorsprung sichern!

Springer Professional "Wirtschaft"

Online-Abonnement

Mit Springer Professional "Wirtschaft" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 340 Zeitschriften

aus folgenden Fachgebieten:

  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Versicherung + Risiko




Jetzt Wissensvorsprung sichern!

Literatur
1.
Zurück zum Zitat Wadekar, J., et al.: A 0.5–4 GHz programmable-bandwidth fractional-N PLL for multi-protocol SERDES in 28 nm CMOS. In: 2016 29th International Conference on VLSI Design, vol. 41, pp. 236–239 (2016) Wadekar, J., et al.: A 0.5–4 GHz programmable-bandwidth fractional-N PLL for multi-protocol SERDES in 28 nm CMOS. In: 2016 29th International Conference on VLSI Design, vol. 41, pp. 236–239 (2016)
2.
Zurück zum Zitat Kauppila, A.V., et al.: Analysis of the single event effects for a 90 nm CMOS phase-locked loop. In: Proceedings of the Radiation Effects Components and Systems (RADECS), pp. 201–206, September 2009 Kauppila, A.V., et al.: Analysis of the single event effects for a 90 nm CMOS phase-locked loop. In: Proceedings of the Radiation Effects Components and Systems (RADECS), pp. 201–206, September 2009
3.
Zurück zum Zitat Loveless, T.D., et al.: A hardened-by-design technique for RF digital phase-locked loops. IEEE Trans. Nucl. Sci. 53(6), 3432–3438 (2006)CrossRef Loveless, T.D., et al.: A hardened-by-design technique for RF digital phase-locked loops. IEEE Trans. Nucl. Sci. 53(6), 3432–3438 (2006)CrossRef
4.
Zurück zum Zitat Loveless, T.D., et al.: A single-event-hardened phase-locked loop fabricated in 130 nm CMOS. IEEE Trans. Nucl. Sci. 54(6), 2012–2020 (2007)CrossRef Loveless, T.D., et al.: A single-event-hardened phase-locked loop fabricated in 130 nm CMOS. IEEE Trans. Nucl. Sci. 54(6), 2012–2020 (2007)CrossRef
5.
Zurück zum Zitat She, X., et al.: Single event transient tolerant frequency divider. IET Comput. Digit. Tech. 8(3), 140–147 (2014)CrossRef She, X., et al.: Single event transient tolerant frequency divider. IET Comput. Digit. Tech. 8(3), 140–147 (2014)CrossRef
6.
Zurück zum Zitat Yuan, H., et al.: A low-jitter self-biased phase-locked loop for SerDes. In: ISOCC 2016, pp. 550–554 (2016) Yuan, H., et al.: A low-jitter self-biased phase-locked loop for SerDes. In: ISOCC 2016, pp. 550–554 (2016)
7.
Zurück zum Zitat Deng, W., et al.: A 0.0066 mm 2780 μW fully synthesizable PLL with a current-output DAC and an interpolative phase-coupled oscillator using edge-injection technique. In: ISSCC Digest of Technical Papers, pp. 266–267, February 2014 Deng, W., et al.: A 0.0066 mm 2780 μW fully synthesizable PLL with a current-output DAC and an interpolative phase-coupled oscillator using edge-injection technique. In: ISSCC Digest of Technical Papers, pp. 266–267, February 2014
8.
Zurück zum Zitat Fischette, D., et al.: A 45 nm SOI-CMOS dual-PLL processor clock system for multi-protocol I/O. In: ISSCC Digest of Technical Papers, pp. 246–247, February 2010 Fischette, D., et al.: A 45 nm SOI-CMOS dual-PLL processor clock system for multi-protocol I/O. In: ISSCC Digest of Technical Papers, pp. 246–247, February 2010
9.
Zurück zum Zitat Brownlee, M., et al.: Single-event transient characterization of a radiation-tolerant charge-pump phase-locked loop fabricated in 130 nm PD-SOI technology. IEEE Trans. Nucl. Sci. 63(4), 2402–2408 (2016)CrossRef Brownlee, M., et al.: Single-event transient characterization of a radiation-tolerant charge-pump phase-locked loop fabricated in 130 nm PD-SOI technology. IEEE Trans. Nucl. Sci. 63(4), 2402–2408 (2016)CrossRef
Metadaten
Titel
A Radiation-Immune Low-Jitter High-Frequency PLL for SerDes
verfasst von
Hengzhou Yuan
Jianjun Chen
Bin Liang
Yang Guo
Copyright-Jahr
2018
Verlag
Springer Singapore
DOI
https://doi.org/10.1007/978-981-10-7844-6_5

Neuer Inhalt