Skip to main content
Erschienen in: Wireless Personal Communications 3/2021

16.11.2020

A Review on Performance Evaluation of Different Low Power SRAM Cells in Nano-Scale Era

verfasst von: Harekrishna Kumar, V. K. Tomar

Erschienen in: Wireless Personal Communications | Ausgabe 3/2021

Einloggen

Aktivieren Sie unsere intelligente Suche um passende Fachinhalte oder Patente zu finden.

search-config
loading …

Abstract

The growing demand of Internet of things based portable gadgets motivate to develop low power static random access memory (SRAM) cell. It occupies large portion in modern system on chip devices. In this context, a detailed review on various SRAM cell topologies has been performed which includes comparative analysis of design parameters and challenges. To perform the comparative analysis, considered SRAM cell topologies are simulated with cadence virtuoso IC6.1.5-64b at 45 nm generic process design kit technology file. It is worthy to notice that 9T SRAM cell has highest value of read stability among considered cells. It is attributed to use of differential read decoupled structure. The 7T SRAM cell has highest value of write ability among considered cells. It is observed that 8T SRAM cell has lowest read power dissipation among considered cells. It happens due to the use of stack transistor in read path of the cell. The lowest value of read access time is also observed in 8T SRAM cell among considered SRAM cells. It is 1.82\(\times\) higher as compared to conventional 6T SRAM cell. Further, the write access time of 9T SRAM cell is lowest among considered cells. This is 1.41\(\times\) less as compared to conventional 6T SRAM cell. Static noise per unit area to power delay product ratio (SAPR) is used to evaluate the overall performance of considered SRAM topologies. It is observed that 8T SRAM cell has the highest value of SAPR among considered SRAM cells. It is 1.91\(\times\) as compared to conventional 6T SRAM cell. All the comparison has been done at 1.0 V supply voltage.

Sie haben noch keine Lizenz? Dann Informieren Sie sich jetzt über unsere Produkte:

Springer Professional "Technik"

Online-Abonnement

Mit Springer Professional "Technik" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 390 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Maschinenbau + Werkstoffe




 

Jetzt Wissensvorsprung sichern!

Springer Professional "Wirtschaft+Technik"

Online-Abonnement

Mit Springer Professional "Wirtschaft+Technik" erhalten Sie Zugriff auf:

  • über 102.000 Bücher
  • über 537 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Maschinenbau + Werkstoffe
  • Versicherung + Risiko

Jetzt Wissensvorsprung sichern!

Springer Professional "Wirtschaft"

Online-Abonnement

Mit Springer Professional "Wirtschaft" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 340 Zeitschriften

aus folgenden Fachgebieten:

  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Versicherung + Risiko




Jetzt Wissensvorsprung sichern!

Literatur
1.
Zurück zum Zitat Roy, K., Mukhopadhyay, S., & Mahmoodi-Meimand, H. (2003). Leakage current mechanisms and leakage reduction techniques in deep-submicrometer cmos circuits. Proceedings of the IEEE, 91(2), 305–327.CrossRef Roy, K., Mukhopadhyay, S., & Mahmoodi-Meimand, H. (2003). Leakage current mechanisms and leakage reduction techniques in deep-submicrometer cmos circuits. Proceedings of the IEEE, 91(2), 305–327.CrossRef
2.
Zurück zum Zitat Hendrawan, S., & Kaushik, R. (1999). Ultra-low power digital subthreshold logic circuits. In Proceedings 1999 international symposium on low power electronics and design (Cat. No. 99TH8477) (pp. 94–96). IEEE. Hendrawan, S., & Kaushik, R. (1999). Ultra-low power digital subthreshold logic circuits. In Proceedings 1999 international symposium on low power electronics and design (Cat. No. 99TH8477) (pp. 94–96). IEEE.
3.
Zurück zum Zitat Gupta, S., Gupta, K., & Pandey, N. (2017). A 32-nm subthreshold 7T sram bit cell with read assist. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 25(12), 3473–3483.CrossRef Gupta, S., Gupta, K., & Pandey, N. (2017). A 32-nm subthreshold 7T sram bit cell with read assist. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 25(12), 3473–3483.CrossRef
4.
Zurück zum Zitat Yabuuchi, M., Tsukamoto, Y., Fujiwara, H., Tanaka, M., Tanaka, S., & Nii, K. (2018). A 28-nm 1R1W two-port 8T SRAM macro with screening circuitry against read disturbance and wordline coupling noise failures. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 26(11), 2335–2344.CrossRef Yabuuchi, M., Tsukamoto, Y., Fujiwara, H., Tanaka, M., Tanaka, S., & Nii, K. (2018). A 28-nm 1R1W two-port 8T SRAM macro with screening circuitry against read disturbance and wordline coupling noise failures. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 26(11), 2335–2344.CrossRef
5.
Zurück zum Zitat Shin, K., Choi, W., & Park, J. (2017). Half-select free and bit-line sharing 9T sram for reliable supply voltage scaling. IEEE Transactions on Circuits and Systems I: Regular Papers, 64(8), 2036–2048.CrossRef Shin, K., Choi, W., & Park, J. (2017). Half-select free and bit-line sharing 9T sram for reliable supply voltage scaling. IEEE Transactions on Circuits and Systems I: Regular Papers, 64(8), 2036–2048.CrossRef
6.
Zurück zum Zitat Gupta, S., Gupta, K., & Pandey, N. (2018). Pentavariate analysis of a subthreshold 10T SRAM bit cell with variation tolerant write and divided bit-line read. IEEE Transactions on Circuits and Systems I: Regular Papers, 65(10), 3326–3337.CrossRef Gupta, S., Gupta, K., & Pandey, N. (2018). Pentavariate analysis of a subthreshold 10T SRAM bit cell with variation tolerant write and divided bit-line read. IEEE Transactions on Circuits and Systems I: Regular Papers, 65(10), 3326–3337.CrossRef
7.
Zurück zum Zitat Selvam, R. D. K., Senthilpari, C., & Lini, L. (2018). Improved speed low power and low voltage sram design for ldpc application circuits. Journal of Engineering Science and Technology, 13(3), 822–837. Selvam, R. D. K., Senthilpari, C., & Lini, L. (2018). Improved speed low power and low voltage sram design for ldpc application circuits. Journal of Engineering Science and Technology, 13(3), 822–837.
8.
Zurück zum Zitat Deepika, K. G., Priyadarshini, K. M., & Raj, K. D. S. (2013). Sleepy keeper approach for power performance tuning in vlsi design. International Journal of Electronics and Communication Engineering, 6(1), 17–28. Deepika, K. G., Priyadarshini, K. M., & Raj, K. D. S. (2013). Sleepy keeper approach for power performance tuning in vlsi design. International Journal of Electronics and Communication Engineering, 6(1), 17–28.
9.
Zurück zum Zitat Bhargav, K. N., Suresh, A., & Saini, G. (2014). Stacked keeper with body bias: A new approach to reduce leakage power for low power vlsi design. In 2014 IEEE international conference on advanced communications, control and computing technologies (pp. 445–450). IEEE. Bhargav, K. N., Suresh, A., & Saini, G. (2014). Stacked keeper with body bias: A new approach to reduce leakage power for low power vlsi design. In 2014 IEEE international conference on advanced communications, control and computing technologies (pp. 445–450). IEEE.
10.
Zurück zum Zitat Dadoria, A. K., & Khare, K. (2014). A novel approach for leakage power reduction techniques in 65 nm technologies. International Journal of VLSI Design and Communication Systems, 5(3), 1.CrossRef Dadoria, A. K., & Khare, K. (2014). A novel approach for leakage power reduction techniques in 65 nm technologies. International Journal of VLSI Design and Communication Systems, 5(3), 1.CrossRef
11.
Zurück zum Zitat Madiwalar, B., & Kariyappa, B. S. (2013). Single bit-line 7T SRAM cell for low power and high SNM. In 2013 international mutli-conference on automation, computing, communication, control and compressed sensing (iMac4s) (pp. 223–228). IEEE. Madiwalar, B., & Kariyappa, B. S. (2013). Single bit-line 7T SRAM cell for low power and high SNM. In 2013 international mutli-conference on automation, computing, communication, control and compressed sensing (iMac4s) (pp. 223–228). IEEE.
12.
Zurück zum Zitat Shrivastava, M., & Yadav, V. K. (2018). Low power schmitt trigger based sram using 32 nm finfet devices. Materials Today: Proceedings, 5(1), 1578–1584. Shrivastava, M., & Yadav, V. K. (2018). Low power schmitt trigger based sram using 32 nm finfet devices. Materials Today: Proceedings, 5(1), 1578–1584.
13.
Zurück zum Zitat Chen, J., Clark, L. T., & Chen, T.-H. (2006). An ultra-low-power memory with a subthreshold power supply voltage. IEEE Journal of Solid-State Circuits, 41(10), 2344–2353.CrossRef Chen, J., Clark, L. T., & Chen, T.-H. (2006). An ultra-low-power memory with a subthreshold power supply voltage. IEEE Journal of Solid-State Circuits, 41(10), 2344–2353.CrossRef
14.
Zurück zum Zitat Chen, J., Clark, L. T., & Cao, Yu. (2005). Maximum fan-in/out. IEEE Circuits and Devices Magazine, 21(6), 12–20.CrossRef Chen, J., Clark, L. T., & Cao, Yu. (2005). Maximum fan-in/out. IEEE Circuits and Devices Magazine, 21(6), 12–20.CrossRef
15.
Zurück zum Zitat Teman, A., Mordakhay, A., Mezhibovsky, J., & Fish, A. (2012). A 40-nm sub-threshold 5T sram bit cell with improved read and write stability. IEEE Transactions on Circuits and Systems II: Express Briefs, 59(12), 873–877.CrossRef Teman, A., Mordakhay, A., Mezhibovsky, J., & Fish, A. (2012). A 40-nm sub-threshold 5T sram bit cell with improved read and write stability. IEEE Transactions on Circuits and Systems II: Express Briefs, 59(12), 873–877.CrossRef
16.
Zurück zum Zitat Anand, N., Sinha, A., Roy, C., & Islam, A. (2015). Design of a stable read-decoupled 6T SRAM cell at 16-nm technology node. In 2015 IEEE international conference on computational intelligence and communication technology (pp. 524–528). IEEE. Anand, N., Sinha, A., Roy, C., & Islam, A. (2015). Design of a stable read-decoupled 6T SRAM cell at 16-nm technology node. In 2015 IEEE international conference on computational intelligence and communication technology (pp. 524–528). IEEE.
17.
Zurück zum Zitat Ansari, M., Afzali-Kusha, H., Ebrahimi, B., Navabi, Z., Afzali-Kusha, A., & Pedram, M. (2015). A near-threshold 7T sram cell with high write and read margins and low write time for sub-20 nm finfet technologies. Integration, 50, 91–106.CrossRef Ansari, M., Afzali-Kusha, H., Ebrahimi, B., Navabi, Z., Afzali-Kusha, A., & Pedram, M. (2015). A near-threshold 7T sram cell with high write and read margins and low write time for sub-20 nm finfet technologies. Integration, 50, 91–106.CrossRef
18.
Zurück zum Zitat Wen, L., Li, Z., & Li, Y. (2012). Differential-read 8T SRAM cell with tunable access and pull-down transistors. Electronics Letters, 48(20), 1260–1261.CrossRef Wen, L., Li, Z., & Li, Y. (2012). Differential-read 8T SRAM cell with tunable access and pull-down transistors. Electronics Letters, 48(20), 1260–1261.CrossRef
19.
Zurück zum Zitat Kushwah, C. B., & Vishvakarma, S. K. (2015). A single-ended with dynamic feedback control 8T subthreshold sram cell. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 24(1), 373–377.CrossRef Kushwah, C. B., & Vishvakarma, S. K. (2015). A single-ended with dynamic feedback control 8T subthreshold sram cell. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 24(1), 373–377.CrossRef
20.
Zurück zum Zitat Joshi, R. V., Kanj, R., & Ramadurai, V. (2010). A novel column-decoupled 8T cell for low-power differential and domino-based SRAM design. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 19(5), 869–882.CrossRef Joshi, R. V., Kanj, R., & Ramadurai, V. (2010). A novel column-decoupled 8T cell for low-power differential and domino-based SRAM design. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 19(5), 869–882.CrossRef
21.
Zurück zum Zitat Pasandi, G., & Fakhraie, S. M. (2014). An 8T low-voltage and low-leakage half-selection disturb-free SRAM using bulk-CMOS and finfets. IEEE Transactions on Electron Devices, 61(7), 2357–2363.CrossRef Pasandi, G., & Fakhraie, S. M. (2014). An 8T low-voltage and low-leakage half-selection disturb-free SRAM using bulk-CMOS and finfets. IEEE Transactions on Electron Devices, 61(7), 2357–2363.CrossRef
22.
Zurück zum Zitat Pal, S., & Islam, A. (2015). Variation tolerant differential 8T SRAM cell for ultralow power applications. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 35(4), 549–558.CrossRef Pal, S., & Islam, A. (2015). Variation tolerant differential 8T SRAM cell for ultralow power applications. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 35(4), 549–558.CrossRef
23.
Zurück zum Zitat Liu, Z., & Kursun, V. (2008). Characterization of a novel nine-transistor SRAM cell. IEEE Transactions on Very Large Scale Integration (VLSI) systems, 16(4), 488–492.CrossRef Liu, Z., & Kursun, V. (2008). Characterization of a novel nine-transistor SRAM cell. IEEE Transactions on Very Large Scale Integration (VLSI) systems, 16(4), 488–492.CrossRef
24.
Zurück zum Zitat Chang, I. J., Kim, J.-J., Park, S. P., & Roy, K. (2009). A 32 kb 10t sub-threshold sram array with bit-interleaving and differential read scheme in 90 nm CMOS. IEEE Journal of Solid-State Circuits, 44(2), 650–658.CrossRef Chang, I. J., Kim, J.-J., Park, S. P., & Roy, K. (2009). A 32 kb 10t sub-threshold sram array with bit-interleaving and differential read scheme in 90 nm CMOS. IEEE Journal of Solid-State Circuits, 44(2), 650–658.CrossRef
25.
Zurück zum Zitat Lo, C.-H., & Huang, S.-Y. (2011). PPN based 10T SRAM cell for low-leakage and resilient subthreshold operation. IEEE Journal of Solid-State Circuits, 46(3), 695–704.CrossRef Lo, C.-H., & Huang, S.-Y. (2011). PPN based 10T SRAM cell for low-leakage and resilient subthreshold operation. IEEE Journal of Solid-State Circuits, 46(3), 695–704.CrossRef
26.
Zurück zum Zitat Pal, S., Bose, S., Ki, W.-H., & Islam, A. (2020). A highly stable reliable sram cell design for low power applications. Microelectronics Reliability, 105, 113503.CrossRef Pal, S., Bose, S., Ki, W.-H., & Islam, A. (2020). A highly stable reliable sram cell design for low power applications. Microelectronics Reliability, 105, 113503.CrossRef
27.
Zurück zum Zitat Sharma, P., Gupta, S., Gupta, K., & Pandey, N. (2020). A low power subthreshold schmitt trigger based 12T SRAM bit cell with process-variation-tolerant write-ability. Microelectronics Journal, 97, 104703.CrossRef Sharma, P., Gupta, S., Gupta, K., & Pandey, N. (2020). A low power subthreshold schmitt trigger based 12T SRAM bit cell with process-variation-tolerant write-ability. Microelectronics Journal, 97, 104703.CrossRef
28.
Zurück zum Zitat Pal, S., Gupta, V., Ki, W. H., & Islam, A. (2019). Transmission gate-based 9T SRAM cell for variation resilient low power and reliable internet of things applications. IET Circuits, Devices and Systems, 13(5), 584–595.CrossRef Pal, S., Gupta, V., Ki, W. H., & Islam, A. (2019). Transmission gate-based 9T SRAM cell for variation resilient low power and reliable internet of things applications. IET Circuits, Devices and Systems, 13(5), 584–595.CrossRef
29.
Zurück zum Zitat Chien, Y.-C., & Wang, J.-S. (2018). A 0.2 v 32-kb 10T SRAM with 41 nw standby power for iot applications. IEEE Transactions on Circuits and Systems I: Regular Papers, 65(8), 2443–2454.MathSciNetCrossRef Chien, Y.-C., & Wang, J.-S. (2018). A 0.2 v 32-kb 10T SRAM with 41 nw standby power for iot applications. IEEE Transactions on Circuits and Systems I: Regular Papers, 65(8), 2443–2454.MathSciNetCrossRef
30.
Zurück zum Zitat Singh, B., Kumar, M., & Ubhi, J. S. (2019). Comparative analysis of standard 9T SRAM with the proposed low-power 9T SRAM. In Advances in signal processing and communication (pp. 541–551). Springer. Singh, B., Kumar, M., & Ubhi, J. S. (2019). Comparative analysis of standard 9T SRAM with the proposed low-power 9T SRAM. In Advances in signal processing and communication (pp. 541–551). Springer.
31.
Zurück zum Zitat Ahmad, S., Iqbal, B., Alam, N., & Hasan, M. (2018). Low leakage fully half-select-free robust SRAM cells with BTI reliability analysis. IEEE Transactions on Device and Materials Reliability, 18(3), 337–349.CrossRef Ahmad, S., Iqbal, B., Alam, N., & Hasan, M. (2018). Low leakage fully half-select-free robust SRAM cells with BTI reliability analysis. IEEE Transactions on Device and Materials Reliability, 18(3), 337–349.CrossRef
32.
Zurück zum Zitat Sachdeva, A., & Tomar, V. K. (2020). Design of a stable low power 11-T static random access memory cell. Journal of Circuits, Systems and Computers, p. 2050206 Sachdeva, A., & Tomar, V. K. (2020). Design of a stable low power 11-T static random access memory cell. Journal of Circuits, Systems and Computers, p. 2050206
33.
Zurück zum Zitat Seevinck, E., List, F. J., & Lohstroh, J. (1987). Static-noise margin analysis of MOS SRAM cells. IEEE Journal of Solid-State Circuits, 22(5), 748–754.CrossRef Seevinck, E., List, F. J., & Lohstroh, J. (1987). Static-noise margin analysis of MOS SRAM cells. IEEE Journal of Solid-State Circuits, 22(5), 748–754.CrossRef
34.
Zurück zum Zitat Singh, J., Mohanty, S. P., & Pradhan, D. K. (2012). Robust SRAM designs and analysis. Berlin: Springer. Singh, J., Mohanty, S. P., & Pradhan, D. K. (2012). Robust SRAM designs and analysis. Berlin: Springer.
35.
Zurück zum Zitat Gupta, S., Gupta, K., Calhoun, B. H., & Pandey, N. (2018). Low-power near-threshold 10T SRAM BIT cells with enhanced data-independent read port leakage for array augmentation in 32-nm CMOS. IEEE Transactions on Circuits and Systems I: Regular Papers, 66(3), 978–988.CrossRef Gupta, S., Gupta, K., Calhoun, B. H., & Pandey, N. (2018). Low-power near-threshold 10T SRAM BIT cells with enhanced data-independent read port leakage for array augmentation in 32-nm CMOS. IEEE Transactions on Circuits and Systems I: Regular Papers, 66(3), 978–988.CrossRef
36.
Zurück zum Zitat Arora, G., & Poonam, A. S. (2014). SNM analysis of SRAM cells at 45 nm, 32 nm and 22 nm technology. International Journal of Engineering Research and General Science, 2(4), 785–791. Arora, G., & Poonam, A. S. (2014). SNM analysis of SRAM cells at 45 nm, 32 nm and 22 nm technology. International Journal of Engineering Research and General Science, 2(4), 785–791.
37.
Zurück zum Zitat Banga, H., & Agarwal, D. (2017). Single bit-line 10T SRAM cell for low power and high SNM. In 2017 international conference on recent innovations in signal processing and embedded systems (RISE) (pp. 433–438). IEEE. Banga, H., & Agarwal, D. (2017). Single bit-line 10T SRAM cell for low power and high SNM. In 2017 international conference on recent innovations in signal processing and embedded systems (RISE) (pp. 433–438). IEEE.
38.
Zurück zum Zitat Ahmad, S., Gupta, M. K., Alam, N., & Hasan, M. (2016). Single-ended schmitt-trigger-based robust low-power SRAM cell. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 24(8), 2634–2642.CrossRef Ahmad, S., Gupta, M. K., Alam, N., & Hasan, M. (2016). Single-ended schmitt-trigger-based robust low-power SRAM cell. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 24(8), 2634–2642.CrossRef
39.
Zurück zum Zitat Pilo, H., Barwin, J., Braceras, G., Browning, C., Burns, S., Gabric, J., Lamphier, S., Miller, M., Roberts, A. L., & Towler, F. (2006). An SRAM design in 65 nm and 45 nm technology nodes featuring read and write-assist circuits to expand operating voltage. In 2006 symposium on VLSI circuits, 2006. Digest of technical papers. (pp. 15–16). IEEE. Pilo, H., Barwin, J., Braceras, G., Browning, C., Burns, S., Gabric, J., Lamphier, S., Miller, M., Roberts, A. L., & Towler, F. (2006). An SRAM design in 65 nm and 45 nm technology nodes featuring read and write-assist circuits to expand operating voltage. In 2006 symposium on VLSI circuits, 2006. Digest of technical papers. (pp. 15–16). IEEE.
40.
Zurück zum Zitat Park, J. C., & Mooney III, V. J. (2006). Sleepy stack leakage reduction. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 14(11), 1250–1263.CrossRef Park, J. C., & Mooney III, V. J. (2006). Sleepy stack leakage reduction. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 14(11), 1250–1263.CrossRef
41.
Zurück zum Zitat Chuang, C.-T., Mukhopadhyay, S., Kim, J.-J., Kim, K., & Rao, R. (2007). High-performance SRAM in nanoscale CMOS: Design challenges and techniques. In 2007 IEEE international workshop on memory technology, design and testing (pp. 4–12). IEEE. Chuang, C.-T., Mukhopadhyay, S., Kim, J.-J., Kim, K., & Rao, R. (2007). High-performance SRAM in nanoscale CMOS: Design challenges and techniques. In 2007 IEEE international workshop on memory technology, design and testing (pp. 4–12). IEEE.
42.
Zurück zum Zitat Upadhyay, P., Kar, R., Mandal, D., & Ghoshal, S. P. (2014). Read stability and power analysis of a proposed novel 8 transistor static random access memory cell in 45 nm technology. Scientia Iranica. Transaction D, Computer Science and Engineering, Electrical, 21(3), 953. Upadhyay, P., Kar, R., Mandal, D., & Ghoshal, S. P. (2014). Read stability and power analysis of a proposed novel 8 transistor static random access memory cell in 45 nm technology. Scientia Iranica. Transaction D, Computer Science and Engineering, Electrical, 21(3), 953.
43.
Zurück zum Zitat Sharma, V., Gopal, M., Singh, P., Vishvakarma, S. K., & Chouhan, S. S. (2019). A robust, ultra low-power, data-dependent-power-supplied 11T SRAM cell with expanded read/write stabilities for internet-of-things applications. Analog Integrated Circuits and Signal Processing, 98(2), 331–346.CrossRef Sharma, V., Gopal, M., Singh, P., Vishvakarma, S. K., & Chouhan, S. S. (2019). A robust, ultra low-power, data-dependent-power-supplied 11T SRAM cell with expanded read/write stabilities for internet-of-things applications. Analog Integrated Circuits and Signal Processing, 98(2), 331–346.CrossRef
Metadaten
Titel
A Review on Performance Evaluation of Different Low Power SRAM Cells in Nano-Scale Era
verfasst von
Harekrishna Kumar
V. K. Tomar
Publikationsdatum
16.11.2020
Verlag
Springer US
Erschienen in
Wireless Personal Communications / Ausgabe 3/2021
Print ISSN: 0929-6212
Elektronische ISSN: 1572-834X
DOI
https://doi.org/10.1007/s11277-020-07953-4

Weitere Artikel der Ausgabe 3/2021

Wireless Personal Communications 3/2021 Zur Ausgabe