Skip to main content
Erschienen in: Journal of Computational Electronics 3/2020

12.06.2020

A variation-aware design for storage cells using Schottky-barrier-type GNRFETs

verfasst von: Erfan Abbasian, Morteza Gholipour

Erschienen in: Journal of Computational Electronics | Ausgabe 3/2020

Einloggen

Aktivieren Sie unsere intelligente Suche, um passende Fachinhalte oder Patente zu finden.

search-config
loading …

Abstract

Graphene nanoribbons (GNRs) are a good replacement material for silicon to overcome short-channel effects in nanoscale devices. However, with continuous technology scaling, the variability of device parameters also increases. Indeed, process, voltage, and temperature (PVT) variations affect the performance of GNR devices because of their small size. Moreover, the bandgap of GNRs is strongly affected by the number of carbon atoms across the channel width. This paper accurately evaluates the impact of such PVT variations on the performance of circuits based on Schottky barrier (SB)-type GNR field-effect transistors (SB-GNRFETs) in terms of their timing parameters, power, and energy–delay product (EDP). Extensive simulations and stability analysis are performed on both flip-flop and conventional six-transistor static random-access memory (6T SRAM) cells made using SB-GNRFETs under these variations. A statistical analysis of the impact of the PVT variations on the SB-GNRFET-based flip-flop is also performed using Monte Carlo simulations, considering the variation of one or all of the parameters, with or without line-edge roughness effects.

Sie haben noch keine Lizenz? Dann Informieren Sie sich jetzt über unsere Produkte:

Springer Professional "Wirtschaft+Technik"

Online-Abonnement

Mit Springer Professional "Wirtschaft+Technik" erhalten Sie Zugriff auf:

  • über 102.000 Bücher
  • über 537 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Maschinenbau + Werkstoffe
  • Versicherung + Risiko

Jetzt Wissensvorsprung sichern!

Springer Professional "Technik"

Online-Abonnement

Mit Springer Professional "Technik" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 390 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Maschinenbau + Werkstoffe




 

Jetzt Wissensvorsprung sichern!

Springer Professional "Wirtschaft"

Online-Abonnement

Mit Springer Professional "Wirtschaft" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 340 Zeitschriften

aus folgenden Fachgebieten:

  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Versicherung + Risiko




Jetzt Wissensvorsprung sichern!

Literatur
13.
Zurück zum Zitat Chen, Y.-Y., Sangai, A., Rogachev, A., Gholipour, M., Iannaccone, G., Fiori, G., et al.: A SPICE-compatible model of MOS-type graphene nano-ribbon field-effect transistors enabling gate-and circuit-level delay and power analysis under process variation. IEEE Trans. Nanotechnol. (2015). https://doi.org/10.1109/TNANO.2015.2469647 CrossRef Chen, Y.-Y., Sangai, A., Rogachev, A., Gholipour, M., Iannaccone, G., Fiori, G., et al.: A SPICE-compatible model of MOS-type graphene nano-ribbon field-effect transistors enabling gate-and circuit-level delay and power analysis under process variation. IEEE Trans. Nanotechnol. (2015). https://​doi.​org/​10.​1109/​TNANO.​2015.​2469647 CrossRef
15.
Zurück zum Zitat Chen, Y.-Y., Rogachev, A., Sangai, A., Iannaccone, G., Fiori, G., Chen, D.: A SPICE-compatible model of graphene nano-ribbon field-effect transistors enabling circuit-level delay and power analysis under process variation. Design, Autom. Test Europe Conf. Exhib. (DATE) (2013). https://doi.org/10.7873/DATE.2013.359 CrossRef Chen, Y.-Y., Rogachev, A., Sangai, A., Iannaccone, G., Fiori, G., Chen, D.: A SPICE-compatible model of graphene nano-ribbon field-effect transistors enabling circuit-level delay and power analysis under process variation. Design, Autom. Test Europe Conf. Exhib. (DATE) (2013). https://​doi.​org/​10.​7873/​DATE.​2013.​359 CrossRef
17.
Zurück zum Zitat Aradhya, H.R., Madan, H., Megaraj, T., Suraj, M., Karthik, R., Muniraj, R.: GNRFET based 8-bit ALU. Int. J. Electron. Commun. Eng. (IJECE) 5(1), 45–54 (2016) Aradhya, H.R., Madan, H., Megaraj, T., Suraj, M., Karthik, R., Muniraj, R.: GNRFET based 8-bit ALU. Int. J. Electron. Commun. Eng. (IJECE) 5(1), 45–54 (2016)
25.
Zurück zum Zitat Cheng, L.: Statistical analysis and optimization for timing and power of VLSI circuits. University of California, Los Angeles (2010) Cheng, L.: Statistical analysis and optimization for timing and power of VLSI circuits. University of California, Los Angeles (2010)
28.
Zurück zum Zitat Chilstedt, S., Dong, C., Chen, D.: Carbon nanomaterial transistors and circuits. In: Transistors: types, materials and applications, pp. 1–34. Nova Sience Pub., New York (2010) Chilstedt, S., Dong, C., Chen, D.: Carbon nanomaterial transistors and circuits. In: Transistors: types, materials and applications, pp. 1–34. Nova Sience Pub., New York (2010)
34.
Zurück zum Zitat Weste, N. H., Harris, D.: CMOS VLSI design: a circuits and systems perspective, 4th edn. Pearson (2011) Weste, N. H., Harris, D.: CMOS VLSI design: a circuits and systems perspective, 4th edn. Pearson (2011)
Metadaten
Titel
A variation-aware design for storage cells using Schottky-barrier-type GNRFETs
verfasst von
Erfan Abbasian
Morteza Gholipour
Publikationsdatum
12.06.2020
Verlag
Springer US
Erschienen in
Journal of Computational Electronics / Ausgabe 3/2020
Print ISSN: 1569-8025
Elektronische ISSN: 1572-8137
DOI
https://doi.org/10.1007/s10825-020-01529-y

Weitere Artikel der Ausgabe 3/2020

Journal of Computational Electronics 3/2020 Zur Ausgabe

Neuer Inhalt