Skip to main content
Erschienen in:
Buchtitelbild

2017 | OriginalPaper | Buchkapitel

1. An Overview About Debugging and Verification Techniques for Embedded Software

verfasst von : Djones Lettnin, Markus Winterholer

Erschienen in: Embedded Software Verification and Debugging

Verlag: Springer New York

Aktivieren Sie unsere intelligente Suche, um passende Fachinhalte oder Patente zu finden.

search-config
loading …

Abstract

Today the debugging and verification of complex system-on-a-chips cannot be considered only on hardware modules anymore. The amount of software has increased significantly over the last years and therefore, the co-debugging and the co-verification of hardware and embedded software modules have become of fundamental importance. This book addresses the current state-of-the-art of hardware/software co-debugging and co-verification methodologies. This chapter intends to give an overview about these main topics and concepts.

Sie haben noch keine Lizenz? Dann Informieren Sie sich jetzt über unsere Produkte:

Springer Professional "Wirtschaft+Technik"

Online-Abonnement

Mit Springer Professional "Wirtschaft+Technik" erhalten Sie Zugriff auf:

  • über 102.000 Bücher
  • über 537 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Maschinenbau + Werkstoffe
  • Versicherung + Risiko

Jetzt Wissensvorsprung sichern!

Springer Professional "Technik"

Online-Abonnement

Mit Springer Professional "Technik" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 390 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Maschinenbau + Werkstoffe




 

Jetzt Wissensvorsprung sichern!

Fußnoten
1
Intellectual property cores are design modules of both hardware or software units used as building blocks, for instance, within SoC designs.
 
2
JTAG (Joint Test Action Group) is a hardware interface based on the IEEE 1149.1 standard and used for scan testing of printed circuit boards.
 
3
White box verification focus on knowledge of a system’s internal structure [8].
 
4
Black box verification focus on the functional behavior of the system, without explicit knowledge of the internal details [8].
 
Literatur
2.
Zurück zum Zitat Andrews J (2005) Co-verification of hardware and software for ARM SoC Design. Newnes Andrews J (2005) Co-verification of hardware and software for ARM SoC Design. Newnes
4.
Zurück zum Zitat Ashton K (2009) That ‘internet of things’ thing, in the real world things matter more than ideas. RFID 1:10–10 Ashton K (2009) That ‘internet of things’ thing, in the real world things matter more than ideas. RFID 1:10–10
7.
Zurück zum Zitat Bailey B, McNamara M, Balarin F, Stellfox M, Mosenson G, Watanabe Y (2010) TLM-driven design and verification methodology. Cadence Des Syst Bailey B, McNamara M, Balarin F, Stellfox M, Mosenson G, Watanabe Y (2010) TLM-driven design and verification methodology. Cadence Des Syst
8.
Zurück zum Zitat Bart B, Noteboom E (2002) Testing embedded software. Addison-Wesley Longman Bart B, Noteboom E (2002) Testing embedded software. Addison-Wesley Longman
9.
Zurück zum Zitat Behrend J, Lettnin D, Heckeler P, Ruf J, Kropf T, Rosenstiel W (2011)Scalable hybrid verification for embedded software. In: DATE ’11: Proceedings of the conference on design, automation and test in Europe, pp 179–184 Behrend J, Lettnin D, Heckeler P, Ruf J, Kropf T, Rosenstiel W (2011)Scalable hybrid verification for embedded software. In: DATE ’11: Proceedings of the conference on design, automation and test in Europe, pp 179–184
10.
Zurück zum Zitat Berthet C (2002) Going mobile: the next horizon for multi-million gate designs in the semi-conductor industry. In: DAC ’02: Proceedings of the 39th conference on Design automation, ACM, New York, USA, pp 375–378. doi:10.1145/513918.514015 Berthet C (2002) Going mobile: the next horizon for multi-million gate designs in the semi-conductor industry. In: DAC ’02: Proceedings of the 39th conference on Design automation, ACM, New York, USA, pp 375–378. doi:10.​1145/​513918.​514015
11.
Zurück zum Zitat Beyer D, Henzinger TA, Jhala R, Majumdar R (2007) The software model checker BLAST. STTT 9(5–6):505–525CrossRef Beyer D, Henzinger TA, Jhala R, Majumdar R (2007) The software model checker BLAST. STTT 9(5–6):505–525CrossRef
12.
Zurück zum Zitat Boul M, Zilic Z (2008) Generating hardware assertion checkers: for hardware verification, emulation, post-fabrication debugging and on-line monitoring, 1st edn. Springer, Incorporated Boul M, Zilic Z (2008) Generating hardware assertion checkers: for hardware verification, emulation, post-fabrication debugging and on-line monitoring, 1st edn. Springer, Incorporated
13.
Zurück zum Zitat Brouillette P (2010) Accelerating soc platform software debug with intelÕs sven and omar. In: System, software, SoC and silicon debug S4D conference 2010 Brouillette P (2010) Accelerating soc platform software debug with intelÕs sven and omar. In: System, software, SoC and silicon debug S4D conference 2010
19.
Zurück zum Zitat Clarke E, Kroening D, Lerda F (2004) A tool for checking ANSI-C programs. In: Jensen K, Podelski A (eds) TACAS: tools and algorithms for the construction and analysis of systems (TACAS 2004), Lecture notes in computer science, vol 2988. Springer, pp 168–176 Clarke E, Kroening D, Lerda F (2004) A tool for checking ANSI-C programs. In: Jensen K, Podelski A (eds) TACAS: tools and algorithms for the construction and analysis of systems (TACAS 2004), Lecture notes in computer science, vol 2988. Springer, pp 168–176
20.
Zurück zum Zitat Clarke E, Kroening D, Sharygina N, Yorav K (2005) SATABS: SAT-based predicate abstraction for ANSI-C. In: TACAS:Tools and Algorithms for the Construction and Analysis of Systems (TACAS 2005), Lecture notes in computer science, vol 3440, pp 570–574. Springer Clarke E, Kroening D, Sharygina N, Yorav K (2005) SATABS: SAT-based predicate abstraction for ANSI-C. In: TACAS:Tools and Algorithms for the Construction and Analysis of Systems (TACAS 2005), Lecture notes in computer science, vol 3440, pp 570–574. Springer
21.
Zurück zum Zitat Clarke EM, Grumberg O, Peled DA (1999) Model checking. The MIT Press Clarke EM, Grumberg O, Peled DA (1999) Model checking. The MIT Press
22.
Zurück zum Zitat Constantinides K, Austin T (2010) Using introspective software-based testing for post-silicon debug and repair. In: Design automation conference (DAC), 2010 47th ACM/IEEE, pp 537–542 Constantinides K, Austin T (2010) Using introspective software-based testing for post-silicon debug and repair. In: Design automation conference (DAC), 2010 47th ACM/IEEE, pp 537–542
23.
Zurück zum Zitat Cordeiro L (2010) Smt-based bounded model checking for multi-threaded software in embedded systems. In: Proceedings of the 32nd ACM/IEEE international conference on software engineering-volume 2, ICSE 2010, Cape Town, South Africa, 1–8 May 2010, pp 373–376 Cordeiro L (2010) Smt-based bounded model checking for multi-threaded software in embedded systems. In: Proceedings of the 32nd ACM/IEEE international conference on software engineering-volume 2, ICSE 2010, Cape Town, South Africa, 1–8 May 2010, pp 373–376
24.
Zurück zum Zitat Cousot P, Cousot R (1977) Abstract interpretation: a unified lattice model for static analysis of programs by construction or approximation of fixpoints. In: Conference record of the fourth annual ACM SIGPLAN-SIGACT symposium on principles of programming languages, ACM Press, New York, pp 238–252 Cousot P, Cousot R (1977) Abstract interpretation: a unified lattice model for static analysis of programs by construction or approximation of fixpoints. In: Conference record of the fourth annual ACM SIGPLAN-SIGACT symposium on principles of programming languages, ACM Press, New York, pp 238–252
27.
Zurück zum Zitat Detlefs D, Nelson G, Saxe JB (2003) Simplify: a theorem prover for program checking. Tech Rep J ACM Detlefs D, Nelson G, Saxe JB (2003) Simplify: a theorem prover for program checking. Tech Rep J ACM
28.
Zurück zum Zitat Dill DL, Tasiran S (1999) Formal verification meets simulation. In: ICCAD ’99: Proceedings of the 1999 IEEE/ACM international conference on Computer-aided design, IEEE Press, Piscataway, NJ, USA. Chairman-Ellen M. Sentovich, p 221 Dill DL, Tasiran S (1999) Formal verification meets simulation. In: ICCAD ’99: Proceedings of the 1999 IEEE/ACM international conference on Computer-aided design, IEEE Press, Piscataway, NJ, USA. Chairman-Ellen M. Sentovich, p 221
29.
Zurück zum Zitat D’Silva V, Kroening D, Weissenbacher G (2008) A survey of automated techniques for formal software verification. TCAD: IEEE Trans Comput Aided Des Integr Circ Syst 27(7):1165–1178. doi:10.1109/TCAD.2008.923410 D’Silva V, Kroening D, Weissenbacher G (2008) A survey of automated techniques for formal software verification. TCAD: IEEE Trans Comput Aided Des Integr Circ Syst 27(7):1165–1178. doi:10.​1109/​TCAD.​2008.​923410
30.
Zurück zum Zitat Foster HC, Krolnik AC, Lacey DJ (2004) Assertion-based design. Springer Foster HC, Krolnik AC, Lacey DJ (2004) Assertion-based design. Springer
31.
Zurück zum Zitat Ganai M, Gupta A (2007) SAT-based scalable formal verification solutions. Springer Ganai M, Gupta A (2007) SAT-based scalable formal verification solutions. Springer
33.
Zurück zum Zitat Goldstein H (2002) Checking the play in plug-and-play. IEEE Spectr 39:50–55 Goldstein H (2002) Checking the play in plug-and-play. IEEE Spectr 39:50–55
34.
Zurück zum Zitat Gorai S, Biswas S, Bhatia L, Tiwari P, Mitra RS (2006) Directed-simulation assisted formal verification of serial protocol and bridge. In: DAC ’06: proceedings of the 43rd annual conference on Design automation, ACM Press, New York, USA, pp 731–736. doi:10.1145/1146909.1147096 Gorai S, Biswas S, Bhatia L, Tiwari P, Mitra RS (2006) Directed-simulation assisted formal verification of serial protocol and bridge. In: DAC ’06: proceedings of the 43rd annual conference on Design automation, ACM Press, New York, USA, pp 731–736. doi:10.​1145/​1146909.​1147096
35.
Zurück zum Zitat Gott RM, Baumgartner JR, Roessler P, Joe SI (2005) Functional formal verification on designs of pseries microprocessors and communication subsystems. IBM J. 49(4/5):565–580CrossRef Gott RM, Baumgartner JR, Roessler P, Joe SI (2005) Functional formal verification on designs of pseries microprocessors and communication subsystems. IBM J. 49(4/5):565–580CrossRef
36.
Zurück zum Zitat Grønli TM, Hansen J, Ghinea G, Younas M (2014) Mobile application platform heterogeneity: Android vs windows phone vs ios vs firefox os. In: Proceedings of the 2014 IEEE 28th international conference on advanced information networking and applications, AINA ’14, IEEE Computer Society, Washington, DC, USA, pp 635–641. doi:10.1109/AINA.2014.78 Grønli TM, Hansen J, Ghinea G, Younas M (2014) Mobile application platform heterogeneity: Android vs windows phone vs ios vs firefox os. In: Proceedings of the 2014 IEEE 28th international conference on advanced information networking and applications, AINA ’14, IEEE Computer Society, Washington, DC, USA, pp 635–641. doi:10.​1109/​AINA.​2014.​78
38.
Zurück zum Zitat Hazelhurst S, Weissberg O, Kamhi G, Fix L (2002) A hybrid verification approach: getting deep into the design Hazelhurst S, Weissberg O, Kamhi G, Fix L (2002) A hybrid verification approach: getting deep into the design
39.
Zurück zum Zitat Ho PH, Shiple T, Harer K, Kukula J, Damiano R, Bertacco V, Taylor J, Long J (2000) Smart simulation using collaborative formal and simulation engines. ICCAD 00:120. doi:10.1109/ICCAD.2000.896461 Ho PH, Shiple T, Harer K, Kukula J, Damiano R, Bertacco V, Taylor J, Long J (2000) Smart simulation using collaborative formal and simulation engines. ICCAD 00:120. doi:10.​1109/​ICCAD.​2000.​896461
40.
Zurück zum Zitat Holzmann GJ (2004) The spin model checker: primer and reference manual. Addison-Wesley Holzmann GJ (2004) The spin model checker: primer and reference manual. Addison-Wesley
41.
Zurück zum Zitat Hung E, Wilton SJE (2014) Accelerating fpga debug: increasing visibility using a runtime reconfigurable observation and triggering network. ACM Trans. Des. Autom. Electron. Syst. 19(2), 14:1–14:23. doi:10.1145/2566668 Hung E, Wilton SJE (2014) Accelerating fpga debug: increasing visibility using a runtime reconfigurable observation and triggering network. ACM Trans. Des. Autom. Electron. Syst. 19(2), 14:1–14:23. doi:10.​1145/​2566668
43.
Zurück zum Zitat Ivanicic F, Shlyakhter I, Gupta A, Ganai MK (2005) Model checking C programs using F-SOFT. In: ICCD ’05: proceedings of the 2005 international conference on computer design, IEEE Computer Society, Washington, DC, USA, pp 297–308. doi:10.1109/ICCD.2005.77 Ivanicic F, Shlyakhter I, Gupta A, Ganai MK (2005) Model checking C programs using F-SOFT. In: ICCD ’05: proceedings of the 2005 international conference on computer design, IEEE Computer Society, Washington, DC, USA, pp 297–308. doi:10.​1109/​ICCD.​2005.​77
45.
Zurück zum Zitat Kropf T (2007) Software bugs seen from an industrial perspective or can formal method help on automotive software development? Kropf T (2007) Software bugs seen from an industrial perspective or can formal method help on automotive software development?
47.
Zurück zum Zitat Lee EA (2007) computing foundations and practice for cyber-physical systems: a preliminary report. Tech Rep UCB/EECS-2007-72 Lee EA (2007) computing foundations and practice for cyber-physical systems: a preliminary report. Tech Rep UCB/EECS-2007-72
48.
Zurück zum Zitat Lettnin D (2010) Verification of temporal properties in embedded software: based on assertion and semiformal verification approaches. Suedwestdeutscher Verlag fuer Hochschulschriften Lettnin D (2010) Verification of temporal properties in embedded software: based on assertion and semiformal verification approaches. Suedwestdeutscher Verlag fuer Hochschulschriften
49.
Zurück zum Zitat Lettnin D, Nalla PK, Behrend J, Ruf J, Gerlach J, Kropf T, Rosenstiel W, Schönknecht V, Reitemeyer S (2009) Semiformal verification of temporal properties in automotive hardware dependent software. In: DATE ’09: proceedings of the conference on design, automation and test in Europe Lettnin D, Nalla PK, Behrend J, Ruf J, Gerlach J, Kropf T, Rosenstiel W, Schönknecht V, Reitemeyer S (2009) Semiformal verification of temporal properties in automotive hardware dependent software. In: DATE ’09: proceedings of the conference on design, automation and test in Europe
50.
Zurück zum Zitat Lettnin D, Nalla PK, Ruf J, Kropf T, Rosenstiel W, Kirsten T, Schönknecht V, Reitemeyer S (2008) Verification of temporal properties in automotive embedded software. In: DATE ’08: Proceedings of the conference on Design, automation and test in Europe, ACM, New York, NY, USA, pp 164–169. doi:10.1145/1403375.1403417 Lettnin D, Nalla PK, Ruf J, Kropf T, Rosenstiel W, Kirsten T, Schönknecht V, Reitemeyer S (2008) Verification of temporal properties in automotive embedded software. In: DATE ’08: Proceedings of the conference on Design, automation and test in Europe, ACM, New York, NY, USA, pp 164–169. doi:10.​1145/​1403375.​1403417
51.
Zurück zum Zitat Lettnin D, Rosenstiel W (2008) Verification of temporal properties in embedded software. In: IP 08: IP-based system design, Grenoble Lettnin D, Rosenstiel W (2008) Verification of temporal properties in embedded software. In: IP 08: IP-based system design, Grenoble
52.
Zurück zum Zitat Liggesmeyer P, Rombach D (2005) Software-engineering eingebetteter systeme: Grundlagen-Methodik-Anwendungen, vol 1. Spektrum Akademischer Verlag Liggesmeyer P, Rombach D (2005) Software-engineering eingebetteter systeme: Grundlagen-Methodik-Anwendungen, vol 1. Spektrum Akademischer Verlag
54.
Zurück zum Zitat McMillan KL (1992) Symbolic model checking: an approach to the state explosion problem. Ph.D thesis, Pittsburgh, PA, USA McMillan KL (1992) Symbolic model checking: an approach to the state explosion problem. Ph.D thesis, Pittsburgh, PA, USA
55.
Zurück zum Zitat Michel H, Bubenhagen F, Fiethe B, Michalik H, Osterloh B, Sullivan W, Wishart A, Ilstad J, Habinc S (2011) Amba to socwire network on chip bridge as a backbone for a dynamic reconfigurable processing unit. In: 2011 NASA/ESA Conference on Adaptive hardware and systems (AHS), pp 227–233. doi:10.1109/AHS.2011.5963941 Michel H, Bubenhagen F, Fiethe B, Michalik H, Osterloh B, Sullivan W, Wishart A, Ilstad J, Habinc S (2011) Amba to socwire network on chip bridge as a backbone for a dynamic reconfigurable processing unit. In: 2011 NASA/ESA Conference on Adaptive hardware and systems (AHS), pp 227–233. doi:10.​1109/​AHS.​2011.​5963941
57.
Zurück zum Zitat Nanshi K, Somenzi F (2006) Guiding simulation with increasingly refined abstract traces. In: DAC ’06: Proceedings of the 43rd annual conference on design automation, ACM Press, NY, USA, pp 737–742. doi:10.1145/1146909.1147097 Nanshi K, Somenzi F (2006) Guiding simulation with increasingly refined abstract traces. In: DAC ’06: Proceedings of the 43rd annual conference on design automation, ACM Press, NY, USA, pp 737–742. doi:10.​1145/​1146909.​1147097
58.
Zurück zum Zitat (OSCI), O.S.I.: IEEE 1666 standard systemc language reference manual (LRM) (2005) (OSCI), O.S.I.: IEEE 1666 standard systemc language reference manual (LRM) (2005)
59.
Zurück zum Zitat Parthasarathy G, Iyer MK, Cheng KT (2003) A comparison of BDDs, BMC, and sequential SAT for model checking. In: HLDVT ’03: Proceedings of the eighth IEEE international workshop on high-level design validation and test workshop, IEEE Computer Society, Washington, DC, USA, p 157 Parthasarathy G, Iyer MK, Cheng KT (2003) A comparison of BDDs, BMC, and sequential SAT for model checking. In: HLDVT ’03: Proceedings of the eighth IEEE international workshop on high-level design validation and test workshop, IEEE Computer Society, Washington, DC, USA, p 157
60.
Zurück zum Zitat Peled D (2002) Comparing symbolic and explicit model checking of a software system. In: In Proceedings of SPin workshop on model checking of software, vol 2318. LNCS, Springer, pp 230–239 Peled D (2002) Comparing symbolic and explicit model checking of a software system. In: In Proceedings of SPin workshop on model checking of software, vol 2318. LNCS, Springer, pp 230–239
61.
Zurück zum Zitat Pretschner A, Broy M, Kruger IH, Stauner T (2007) Software engineering for automotive systems: a roadmap. In: FOSE ’07: 2007 future of software engineering, IEEE Computer Society, Washington, DC, USA, pp 55–71. doi:10.1109/FOSE.2007.22 Pretschner A, Broy M, Kruger IH, Stauner T (2007) Software engineering for automotive systems: a roadmap. In: FOSE ’07: 2007 future of software engineering, IEEE Computer Society, Washington, DC, USA, pp 55–71. doi:10.​1109/​FOSE.​2007.​22
62.
63.
Zurück zum Zitat Rigo S, Azevedo R, Santos L (2011) Electronic system level design: an open-source approach. Springer Rigo S, Azevedo R, Santos L (2011) Electronic system level design: an open-source approach. Springer
64.
Zurück zum Zitat Ruf J, Kropf T (2002) Combination of simulation and formal verification. In: Proceedings of GI/ITG/GMM-workshop Methoden und Beschreibungssprachen zur Modellierung und Verifikation von Schaltungen und Systemen. Shaker Verlag Ruf J, Kropf T (2002) Combination of simulation and formal verification. In: Proceedings of GI/ITG/GMM-workshop Methoden und Beschreibungssprachen zur Modellierung und Verifikation von Schaltungen und Systemen. Shaker Verlag
65.
Zurück zum Zitat Shyam S, Bertacco V (2006) Distance-guided hybrid verification with GUIDO. In: DATE ’06: Proceedings of the conference on design, automation and test in Europe, European design and automation association, 3001 Leuven, Belgium, pp 1211–1216 Shyam S, Bertacco V (2006) Distance-guided hybrid verification with GUIDO. In: DATE ’06: Proceedings of the conference on design, automation and test in Europe, European design and automation association, 3001 Leuven, Belgium, pp 1211–1216
67.
Zurück zum Zitat Spillner A, Linz T, Schaefer H (2006) Software testing foundations: a study guide for the certified tester exam. O’Reilly media Spillner A, Linz T, Schaefer H (2006) Software testing foundations: a study guide for the certified tester exam. O’Reilly media
72.
Zurück zum Zitat Wehner P, Ferger M, Göhringer D, Hübner M (2013) Rapid prototyping of a portable hw/sw co-design on the virtual zynq platform using systemc. In: SoCC. IEEE, pp 296–300 Wehner P, Ferger M, Göhringer D, Hübner M (2013) Rapid prototyping of a portable hw/sw co-design on the virtual zynq platform using systemc. In: SoCC. IEEE, pp 296–300
73.
Zurück zum Zitat Winterholer M (2006) Transaction-based hardware software co-verification. In: FDL’06: Proceedings of the conference on forum on specification and design languages Winterholer M (2006) Transaction-based hardware software co-verification. In: FDL’06: Proceedings of the conference on forum on specification and design languages
74.
Zurück zum Zitat Zeller A (2005) Why programs fail: a guide to systematic debugging. Morgan Kaufmann Zeller A (2005) Why programs fail: a guide to systematic debugging. Morgan Kaufmann
75.
Zurück zum Zitat Zhao M, Liu Z, Liang Z, Zhou D (2009) An on-chip in-circuit emulation architecture for debugging an asynchronous java accelerator. In: International conference on computational intelligence and software engineering, CiSE 2009, pp 1–4. doi:10.1109/CISE.2009.5363421 Zhao M, Liu Z, Liang Z, Zhou D (2009) An on-chip in-circuit emulation architecture for debugging an asynchronous java accelerator. In: International conference on computational intelligence and software engineering, CiSE 2009, pp 1–4. doi:10.​1109/​CISE.​2009.​5363421
Metadaten
Titel
An Overview About Debugging and Verification Techniques for Embedded Software
verfasst von
Djones Lettnin
Markus Winterholer
Copyright-Jahr
2017
Verlag
Springer New York
DOI
https://doi.org/10.1007/978-1-4614-2266-2_1

Neuer Inhalt