Skip to main content

2012 | OriginalPaper | Buchkapitel

4. Anforderungen an Modellierung und Simulation von 3D–Systemen

verfasst von : Andreas Wilde

Erschienen in: Entwurf integrierter 3D-Systeme der Elektronik

Verlag: Springer Berlin Heidelberg

Aktivieren Sie unsere intelligente Suche, um passende Fachinhalte oder Patente zu finden.

search-config
loading …

Zusammenfassung

Wenn man heute von 3D-integrierten ICs spricht, meint man normalerweise Stapel von konventionell hergestellten „2D“-Chips, die durch spezielle Verbindungen elektrisch und mechanisch miteinander verbunden und in ein einziges Gehäuse integriert sind. Daher ist es offensichtlich, dass die einzelnen Chips, aus denen die Stapel bestehen, zum großen Teil mit den vorhandenen Entwurfswerkzeugen für konventionelle Chips entworfen werden. Ein anderer Grund für dieses Vorgehen sind die Kosten- und Zeiteinsparungen bei der Einführung der 3D-Integrationstechnologie durch Übernahme von möglichst vielen der etablierten Werkzeuge und Abläufe.

Sie haben noch keine Lizenz? Dann Informieren Sie sich jetzt über unsere Produkte:

Springer Professional "Wirtschaft+Technik"

Online-Abonnement

Mit Springer Professional "Wirtschaft+Technik" erhalten Sie Zugriff auf:

  • über 102.000 Bücher
  • über 537 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Maschinenbau + Werkstoffe
  • Versicherung + Risiko

Jetzt Wissensvorsprung sichern!

Springer Professional "Technik"

Online-Abonnement

Mit Springer Professional "Technik" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 390 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Maschinenbau + Werkstoffe




 

Jetzt Wissensvorsprung sichern!

Springer Professional "Wirtschaft"

Online-Abonnement

Mit Springer Professional "Wirtschaft" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 340 Zeitschriften

aus folgenden Fachgebieten:

  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Versicherung + Risiko




Jetzt Wissensvorsprung sichern!

Literatur
[HWK11]
Zurück zum Zitat Heinig, A., Wolf, S., Knöchel, U.: XML-basierte hierarchische Beschreibungssprache für 3D-Systeme, GMM/ITG-Fachtagung Zuverlässigkeit und Entwurf, S. 54–61. Hamburg-Harburg, Deutschland (2011) Heinig, A., Wolf, S., Knöchel, U.: XML-basierte hierarchische Beschreibungssprache für 3D-Systeme, GMM/ITG-Fachtagung Zuverlässigkeit und Entwurf, S. 54–61. Hamburg-Harburg, Deutschland (2011)
[RHM11]
Zurück zum Zitat Reitz, S., Heinig, A., Martin, R., Stolle, J., Wilde, A.: Thermal Modeling of 3D Stacks for Floorplanning, Therminic, S. 153–158. Paris, France, 27–29 Sept 2011 Reitz, S., Heinig, A., Martin, R., Stolle, J., Wilde, A.: Thermal Modeling of 3D Stacks for Floorplanning, Therminic, S. 153–158. Paris, France, 27–29 Sept 2011
[CL09]
Zurück zum Zitat Cong, J., Luo, G.: A 3D Physical Design Flow Based on OpenAccess, Communications, Circuits and Systems, 2009. ICCCAS 2009. International Conference on, S. 1103–1107 (2009) Cong, J., Luo, G.: A 3D Physical Design Flow Based on OpenAccess, Communications, Circuits and Systems, 2009. ICCCAS 2009. International Conference on, S. 1103–1107 (2009)
[PSR11]
Zurück zum Zitat Papinikolau, A., Soudris, D., Radojcic, R.: Three Dimensional System Integration. Springer, US (2011)CrossRef Papinikolau, A., Soudris, D., Radojcic, R.: Three Dimensional System Integration. Springer, US (2011)CrossRef
[CZ05]
Zurück zum Zitat Cong, J., Zhang, Y.: Thermal Via Planning for 3-D ICs, Computer-Aided Design, 2005. ICCAD-2005. IEEE/ACM International Conference on, S. 745–752 (2005) Cong, J., Zhang, Y.: Thermal Via Planning for 3-D ICs, Computer-Aided Design, 2005. ICCAD-2005. IEEE/ACM International Conference on, S. 745–752 (2005)
[IB00]
Zurück zum Zitat Im, S., Banerjee, K.: Full chip thermal analysis of planar (2-D) and vertically integrated (3-D) high performance ICs, Electron Devices Meeting, IEDM Technical Digest. International, S. 727–730 (2000) Im, S., Banerjee, K.: Full chip thermal analysis of planar (2-D) and vertically integrated (3-D) high performance ICs, Electron Devices Meeting, IEDM Technical Digest. International, S. 727–730 (2000)
[NST10]
Zurück zum Zitat Ni, M., Su, Q., Tang, Z., Kawa, J.: An Analytical Study on the Role of Thermal TSVs in a 3DIC Chip Stack, DATE’10 Friday Workshop on „3D Integration“, S. 137–141 (2010) Ni, M., Su, Q., Tang, Z., Kawa, J.: An Analytical Study on the Role of Thermal TSVs in a 3DIC Chip Stack, DATE’10 Friday Workshop on „3D Integration“, S. 137–141 (2010)
[CNL11]
Zurück zum Zitat Curran, B., Ndip, I., Löbbicke, K., Guttowski, S., Lang, K.-L.: High Frequency Modeling Techniques of TSV Pairs for Interposer Applications, Smart Systems Integration, Dresden, Germany, 22–23 März 2011 Curran, B., Ndip, I., Löbbicke, K., Guttowski, S., Lang, K.-L.: High Frequency Modeling Techniques of TSV Pairs for Interposer Applications, Smart Systems Integration, Dresden, Germany, 22–23 März 2011
[Lim10]
Zurück zum Zitat Lim, S.K.: TSV-Aware 3D Physical Design Tool Needs for Faster Mainstream Acceptance of 3D ICs [Online], ACM DAC Knowledge Center (dac.com). http://www.dac.com/back_end+topics.aspx?article=27&topic=2 (2012). Zugegriffen 17 Apr 2012 Lim, S.K.: TSV-Aware 3D Physical Design Tool Needs for Faster Mainstream Acceptance of 3D ICs [Online], ACM DAC Knowledge Center (dac.com). http://​www.​dac.​com/​back_​end+topics.​aspx?​article=​27&​topic=​2 (2012). Zugegriffen 17 Apr 2012
[RSM10]
Zurück zum Zitat Reitz, S., Stolle, J., Martin, R., Wilde, A., Schneider, P.: Modular modeling approach to consider rf and thermal behavior of complex systems built up using interconnect structures in 3d integration. In: Proceedings Vol. 1 of 3rd Electronics System Integration Technology Conference, ESTC, S. 106–111. Berlin, Germany 13–16 Sept 2010 Reitz, S., Stolle, J., Martin, R., Wilde, A., Schneider, P.: Modular modeling approach to consider rf and thermal behavior of complex systems built up using interconnect structures in 3d integration. In: Proceedings Vol. 1 of 3rd Electronics System Integration Technology Conference, ESTC, S. 106–111. Berlin, Germany 13–16 Sept 2010
[SRS09]
Zurück zum Zitat Stolle, J., Reitz, S., Schneider, P.: Andreas Wilde: Ein modularer Modellierungsansatz für die Analyse elektromagnetischer Effekte in Through Silicon Vias bei der 3D-Systemintegration, Tagungsband des Treffens der ASIM/GI-Fachgruppen STS und GMMS. DASS 2009 (Dresdner Arbeitstagung Schaltungs- und Systemenwurf), S. 157–162. Dresden, Deutschland, 5–6 März 2009 Stolle, J., Reitz, S., Schneider, P.: Andreas Wilde: Ein modularer Modellierungsansatz für die Analyse elektromagnetischer Effekte in Through Silicon Vias bei der 3D-Systemintegration, Tagungsband des Treffens der ASIM/GI-Fachgruppen STS und GMMS. DASS 2009 (Dresdner Arbeitstagung Schaltungs- und Systemenwurf), S. 157–162. Dresden, Deutschland, 5–6 März 2009
[SRS08]
Zurück zum Zitat Schneider, P., Reitz, S., Stolle, J., Martin, R., Wilde, A., Ramm, P., Weber, J.: Design support for 3D system integration by multi physics simulation, Materials and Technologies for 3-D Integration: Symposium E, Boston, Massachusetts, U.S.A., 1–3 Dez 2008, Warrendale, Pa.: MRS, (Materials Research Society Symposium Proceedings 1112), S. 235–246 (2009) Schneider, P., Reitz, S., Stolle, J., Martin, R., Wilde, A., Ramm, P., Weber, J.: Design support for 3D system integration by multi physics simulation, Materials and Technologies for 3-D Integration: Symposium E, Boston, Massachusetts, U.S.A., 1–3 Dez 2008, Warrendale, Pa.: MRS, (Materials Research Society Symposium Proceedings 1112), S. 235–246 (2009)
[SRS10]
Zurück zum Zitat Schneider, P., Reitz, S., Stolle, J., Martin, R., Heinig, A., Wilde, A.: Design methods for 3D IC integration, CD-Rom of International Wafer-Level Packaging Conference & Tabletop Exhibition, IWLPC, S. 8–16. Santa Clara, CA, U.S.A., 11–14 Okt 2010 Schneider, P., Reitz, S., Stolle, J., Martin, R., Heinig, A., Wilde, A.: Design methods for 3D IC integration, CD-Rom of International Wafer-Level Packaging Conference & Tabletop Exhibition, IWLPC, S. 8–16. Santa Clara, CA, U.S.A., 11–14 Okt 2010
[SRW10]
Zurück zum Zitat Schneider, P., Reitz, S., Wilde, A., Elst, G., Schwarz, P.: Towards a methodology for analysis of interconnect structures for 3D-integration of micro systems. Analog Integr. Circuit. Signal Process. 57(3), 205–211 (2008)CrossRef Schneider, P., Reitz, S., Wilde, A., Elst, G., Schwarz, P.: Towards a methodology for analysis of interconnect structures for 3D-integration of micro systems. Analog Integr. Circuit. Signal Process. 57(3), 205–211 (2008)CrossRef
[SE08]
Zurück zum Zitat Schneider, P., Elst, G.: Modeling approaches and design methods for 3D system design. In: Garrou, P. (Hrsg.) Handbook of 3D integration, Vol. 2: Technology and applications of 3D integrated circuits, S. 529–574. Wiley-VCH, Weinheim (2008). ISBN: 978-3-527-32034-9CrossRef Schneider, P., Elst, G.: Modeling approaches and design methods for 3D system design. In: Garrou, P. (Hrsg.) Handbook of 3D integration, Vol. 2: Technology and applications of 3D integrated circuits, S. 529–574. Wiley-VCH, Weinheim (2008). ISBN: 978-3-527-32034-9CrossRef
[CWZ04]
Zurück zum Zitat Cong, J., Wei, J., Zhang, Y.: A thermal-driven floorplanning algorithm for 3D ICs, IEEE/ACM International Conference on Computer Aided Design, S. 306–313, ICCAD-2004 Cong, J., Wei, J., Zhang, Y.: A thermal-driven floorplanning algorithm for 3D ICs, IEEE/ACM International Conference on Computer Aided Design, S. 306–313, ICCAD-2004
Metadaten
Titel
Anforderungen an Modellierung und Simulation von 3D–Systemen
verfasst von
Andreas Wilde
Copyright-Jahr
2012
Verlag
Springer Berlin Heidelberg
DOI
https://doi.org/10.1007/978-3-642-30572-6_4

Neuer Inhalt