Skip to main content

2019 | OriginalPaper | Buchkapitel

17. Approximate Systems: Synergistically Approximating Sensing, Computing, Memory, and Communication Subsystems for Energy Efficiency

verfasst von : Arnab Raha, Vijay Raghunathan

Erschienen in: Approximate Circuits

Verlag: Springer International Publishing

Aktivieren Sie unsere intelligente Suche, um passende Fachinhalte oder Patente zu finden.

search-config
loading …

Abstract

Emerging application domains exhibit the property of intrinsic error resilience that enables new avenues for energy optimization of computing systems, namely the introduction of a small amount of approximations during system operation in exchange for substantial energy savings. Almost all prior work in the area of approximate computing has focused on individual subsystems of a computing system, e.g., the computational subsystem or the memory subsystem. Since they focus only on individual subsystems, these techniques are unable to exploit the large energy-saving opportunities that stem from adopting a full-system perspective and approximating multiple subsystems of a computing platform simultaneously in a coordinated manner. Towards this end, this chapter introduces the concept of an Approximate System that performs joint approximations across different subsystems, leading to significant energy benefits compared to approximating individual subsystems in isolation. We use the example of a smart camera system that executes various computer vision and image processing applications to illustrate how the sensing, memory, processing, and communication subsystems can all be approximated synergistically. The approximate smart camera system was implemented using an Altera Stratix IV GX FPGA development board, a Terasic TRDB-D5M 5 Megapixel camera module, a Terasic RFS WiFi module, and a 1 GB DDR3 DRAM SODIMM module. Experimental results obtained using six application benchmarks demonstrate that the proposed full-system approximation methodology achieves significant energy savings of 1.8 × to 5.5 × on average over individual subsystem-level approximations for minimal (<1%) application-level quality loss.

Sie haben noch keine Lizenz? Dann Informieren Sie sich jetzt über unsere Produkte:

Springer Professional "Wirtschaft+Technik"

Online-Abonnement

Mit Springer Professional "Wirtschaft+Technik" erhalten Sie Zugriff auf:

  • über 102.000 Bücher
  • über 537 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Maschinenbau + Werkstoffe
  • Versicherung + Risiko

Jetzt Wissensvorsprung sichern!

Springer Professional "Technik"

Online-Abonnement

Mit Springer Professional "Technik" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 390 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Maschinenbau + Werkstoffe




 

Jetzt Wissensvorsprung sichern!

Fußnoten
1
Note that we took care of variable retention time, variation in temperature, and dependence on data patterns by performing repeated characterizations at a much higher temperature.
 
2
We also experimented with DRAM modules from Samsung, Kingston, Elpida, Micron and all of them showed similar Q-E trade-off.
 
Literatur
1.
Zurück zum Zitat Gupta V, Mohapatra D, Park SP, Raghunathan A, Roy K (2011) IMPACT: IMPrecise adders for low-power approximate computing. In: Proceedings of ISLPED 2011, pp 409–414 Gupta V, Mohapatra D, Park SP, Raghunathan A, Roy K (2011) IMPACT: IMPrecise adders for low-power approximate computing. In: Proceedings of ISLPED 2011, pp 409–414
2.
Zurück zum Zitat Raha A, Jayakumar H, Raghunathan V (2016) Input-based dynamic reconfiguration of approximate arithmetic units for video encoding. IEEE Trans VLSI Syst 24(3):846–857CrossRef Raha A, Jayakumar H, Raghunathan V (2016) Input-based dynamic reconfiguration of approximate arithmetic units for video encoding. IEEE Trans VLSI Syst 24(3):846–857CrossRef
3.
Zurück zum Zitat Kulkarni P, Gupta P, Ercegovac M (2011) Trading accuracy for power with an underdesigned multiplier architecture. In: Proceedings of VLSI design, pp 346–351 Kulkarni P, Gupta P, Ercegovac M (2011) Trading accuracy for power with an underdesigned multiplier architecture. In: Proceedings of VLSI design, pp 346–351
4.
Zurück zum Zitat Shin D, Gupta SK (March 2010) Approximate logic synthesis for error tolerant applications. In: Proceedings of DATE, pp 957–960 Shin D, Gupta SK (March 2010) Approximate logic synthesis for error tolerant applications. In: Proceedings of DATE, pp 957–960
5.
Zurück zum Zitat Krause PK, Polian I (March 2011) Adaptive voltage over-scaling for resilient applications. In: Proceedings of DATE, pp 1–6 Krause PK, Polian I (March 2011) Adaptive voltage over-scaling for resilient applications. In: Proceedings of DATE, pp 1–6
6.
Zurück zum Zitat Venkataramani S, Sabne A, Kozhikkottu V, Roy K, Raghunathan A (2012) Salsa: systematic logic synthesis of approximate circuits. In: Proceedings of DAC, pp 796–801 Venkataramani S, Sabne A, Kozhikkottu V, Roy K, Raghunathan A (2012) Salsa: systematic logic synthesis of approximate circuits. In: Proceedings of DAC, pp 796–801
7.
Zurück zum Zitat Ranjan A, Raha A, Venkataramani S, Roy K, Raghunathan A (2014) ASLAN: synthesis of approximate sequential circuits. In: Proceedings of DATE, pp 364:1–364:6 Ranjan A, Raha A, Venkataramani S, Roy K, Raghunathan A (2014) ASLAN: synthesis of approximate sequential circuits. In: Proceedings of DATE, pp 364:1–364:6
8.
Zurück zum Zitat Raha A, Raghunathan V (2017) qLUT: input-aware quantized table lookup for energy-efficient approximate accelerators. ACM Trans Embed Comput Syst 16(5s):130:1–130:23CrossRef Raha A, Raghunathan V (2017) qLUT: input-aware quantized table lookup for energy-efficient approximate accelerators. ACM Trans Embed Comput Syst 16(5s):130:1–130:23CrossRef
9.
Zurück zum Zitat Nepal K, Li Y, Bahar RI, Reda S (2014) ABACUS: a technique for automated behavioral synthesis of approximate computing circuits. In: Proceedings of DATE, pp 361:1–6 Nepal K, Li Y, Bahar RI, Reda S (2014) ABACUS: a technique for automated behavioral synthesis of approximate computing circuits. In: Proceedings of DATE, pp 361:1–6
10.
Zurück zum Zitat Esmaeilzadeh H, Sampson A, Ceze L, Burger D (2012) Neural acceleration for general-purpose approximate programs. In: Proceedings of MICRO-45, pp 449–460 Esmaeilzadeh H, Sampson A, Ceze L, Burger D (2012) Neural acceleration for general-purpose approximate programs. In: Proceedings of MICRO-45, pp 449–460
11.
Zurück zum Zitat Samadi M, Lee J, Jamshidi DA, Hormati A, Mahlke S (2013) SAGE: Self-tuning Approximation for Graphics Engines. In: Proceedings of MICRO-46, pp 13–24 Samadi M, Lee J, Jamshidi DA, Hormati A, Mahlke S (2013) SAGE: Self-tuning Approximation for Graphics Engines. In: Proceedings of MICRO-46, pp 13–24
12.
Zurück zum Zitat Venkataramani S, Chippa V, Chakradhar S, Roy K, Raghunathan A (2013) Quality programmable vector processors for approximate computing. In: Proceedings of MICRO-46, pp 1–12 Venkataramani S, Chippa V, Chakradhar S, Roy K, Raghunathan A (2013) Quality programmable vector processors for approximate computing. In: Proceedings of MICRO-46, pp 1–12
13.
Zurück zum Zitat Sidiroglou-Douskos S, Misailovic S, Hoffmann H, Rinard M (2011) Managing performance vs. accuracy trade-offs with loop perforation. In: Proceedings of ESEC/FSE, pp 124–134 Sidiroglou-Douskos S, Misailovic S, Hoffmann H, Rinard M (2011) Managing performance vs. accuracy trade-offs with loop perforation. In: Proceedings of ESEC/FSE, pp 124–134
14.
Zurück zum Zitat Raha A, Venkataramani S, Raghunathan V, Raghunathan A (2015) Quality configurable reduce-and-rank for energy efficient approximate computing. In: Proceedings of DATE, pp 89–98 Raha A, Venkataramani S, Raghunathan V, Raghunathan A (2015) Quality configurable reduce-and-rank for energy efficient approximate computing. In: Proceedings of DATE, pp 89–98
15.
Zurück zum Zitat Raha A, Venkataramani S, Raghunathan V, Raghunathan A (2017) Energy-efficient reduce-and-rank using input-adaptive approximations. IEEE Trans VLSI Syst 25:462–475CrossRef Raha A, Venkataramani S, Raghunathan V, Raghunathan A (2017) Energy-efficient reduce-and-rank using input-adaptive approximations. IEEE Trans VLSI Syst 25:462–475CrossRef
16.
Zurück zum Zitat Shoushtari M, BanaiyanMofrad A, Dutt N (2015) Exploiting partially-forgetful memories for approximate computing. IEEE Embed Syst Lett 7:19–22CrossRef Shoushtari M, BanaiyanMofrad A, Dutt N (2015) Exploiting partially-forgetful memories for approximate computing. IEEE Embed Syst Lett 7:19–22CrossRef
17.
Zurück zum Zitat Raha A, Sutar S, Jayakumar H, Raghunathan V (2015) Quality-aware data allocation in approximate DRAM. In: Proceedings of CASES, pp 89–98 Raha A, Sutar S, Jayakumar H, Raghunathan V (2015) Quality-aware data allocation in approximate DRAM. In: Proceedings of CASES, pp 89–98
18.
Zurück zum Zitat Ranjan A, Raha A, Raghunathan V, Raghunathan A (2017) Approximate memory compression for energy-efficiency. In: Proceedings of ISLPED, pp 1–6 Ranjan A, Raha A, Raghunathan V, Raghunathan A (2017) Approximate memory compression for energy-efficiency. In: Proceedings of ISLPED, pp 1–6
19.
Zurück zum Zitat Sampson A, Nelson J, Strauss K, Ceze L (2013) Approximate storage in solid-state memories. In: Proceedings of MICRO, pp 25–36 Sampson A, Nelson J, Strauss K, Ceze L (2013) Approximate storage in solid-state memories. In: Proceedings of MICRO, pp 25–36
20.
Zurück zum Zitat Sampaio F, Shafique M, Zatt B, Bampi S, Henkel J (2015) Approximation-aware multi-level cells STT-RAM cache architecture. In: Proceedings of CASES, pp 79–88 Sampaio F, Shafique M, Zatt B, Bampi S, Henkel J (2015) Approximation-aware multi-level cells STT-RAM cache architecture. In: Proceedings of CASES, pp 79–88
21.
Zurück zum Zitat Mittal S (2016) A survey of techniques for approximate computing. ACM Comput Surv 48:62:1–62:33 Mittal S (2016) A survey of techniques for approximate computing. ACM Comput Surv 48:62:1–62:33
22.
Zurück zum Zitat Stanley-Marbell P, Rinard M (2015) Lax: driver interfaces for approximate sensor device access. In: HotOS Stanley-Marbell P, Rinard M (2015) Lax: driver interfaces for approximate sensor device access. In: HotOS
23.
Zurück zum Zitat LiKamWa R, Priyantha B, Philipose M, Zhong L, Bahl P (2013) Energy characterization and optimization of image sensing toward continuous mobile vision. In: MobiSys, pp 69–82 LiKamWa R, Priyantha B, Philipose M, Zhong L, Bahl P (2013) Energy characterization and optimization of image sensing toward continuous mobile vision. In: MobiSys, pp 69–82
24.
Zurück zum Zitat Zhao M, Zhang H, Chen X, Chen Y, Xue CJ (2013) Online OLED dynamic voltage scaling for video streaming applications on mobile devices. In: Proceedings of CODES, pp 1–10 Zhao M, Zhang H, Chen X, Chen Y, Xue CJ (2013) Online OLED dynamic voltage scaling for video streaming applications on mobile devices. In: Proceedings of CODES, pp 1–10
25.
Zurück zum Zitat Stanley-Marbell P, Estellers V, Rinard M (2016) Crayon: saving power through shape and color approximation on next-generation displays. In: Proceedings of EuroSys, pp 11:1–11:17 Stanley-Marbell P, Estellers V, Rinard M (2016) Crayon: saving power through shape and color approximation on next-generation displays. In: Proceedings of EuroSys, pp 11:1–11:17
26.
Zurück zum Zitat Stanley-Marbell P, Rinard M (2016) Reducing serial i/o power in error-tolerant applications by efficient lossy encoding. In: Proceedings of DAC, pp 62:1–62:6 Stanley-Marbell P, Rinard M (2016) Reducing serial i/o power in error-tolerant applications by efficient lossy encoding. In: Proceedings of DAC, pp 62:1–62:6
27.
Zurück zum Zitat Kim Y, Behroozi S, Raghunathan V, Raghunathan A (2017) Axserbus: a quality-configurable approximate serial bus for energy-efficient sensing. In: Proceedings of ISLPED, pp 1–6 Kim Y, Behroozi S, Raghunathan V, Raghunathan A (2017) Axserbus: a quality-configurable approximate serial bus for energy-efficient sensing. In: Proceedings of ISLPED, pp 1–6
28.
Zurück zum Zitat Zordan D, Martinez B, Vilajosana I, Rossi M (2014) On the performance of lossy compression schemes for energy constrained sensor networking. ACM Trans Sens Netw 11:15:1–15:34CrossRef Zordan D, Martinez B, Vilajosana I, Rossi M (2014) On the performance of lossy compression schemes for energy constrained sensor networking. ACM Trans Sens Netw 11:15:1–15:34CrossRef
29.
Zurück zum Zitat Sadler C, Martonosi M (2006) Data compression algorithms for energy-constrained devices in delay tolerant networks. In: Proceedings of SenSys, pp 265–278 Sadler C, Martonosi M (2006) Data compression algorithms for energy-constrained devices in delay tolerant networks. In: Proceedings of SenSys, pp 265–278
30.
Zurück zum Zitat Ma T, Hempel M, Peng D, Sharif H (2013) A survey of energy-efficient compression and communication techniques for multimedia in resource constrained systems. IEEE Commun Surv Tutorials 15:963–972CrossRef Ma T, Hempel M, Peng D, Sharif H (2013) A survey of energy-efficient compression and communication techniques for multimedia in resource constrained systems. IEEE Commun Surv Tutorials 15:963–972CrossRef
31.
Zurück zum Zitat Jung M, Mathew DM, Weis C, Wehn N (2016) Approximate computing with partially unreliable dynamic random access memory - approximate dram. In: Proceedings of DAC, pp 100:1–100:4 Jung M, Mathew DM, Weis C, Wehn N (2016) Approximate computing with partially unreliable dynamic random access memory - approximate dram. In: Proceedings of DAC, pp 100:1–100:4
32.
Zurück zum Zitat Raha A, Sutar S, Jayakumar H, Raghunathan V (2017) Quality configurable approximate DRAM. IEEE Trans Comput 66:1172–1187MathSciNetCrossRef Raha A, Sutar S, Jayakumar H, Raghunathan V (2017) Quality configurable approximate DRAM. IEEE Trans Comput 66:1172–1187MathSciNetCrossRef
33.
Zurück zum Zitat Raha A, Raghunathan V (2017) Towards full-system energy-accuracy tradeoffs: A case study of an approximate smart camera system. In: Proceedings of DAC Raha A, Raghunathan V (2017) Towards full-system energy-accuracy tradeoffs: A case study of an approximate smart camera system. In: Proceedings of DAC
34.
Zurück zum Zitat Barr KC, Asanović K (2006) Energy-aware lossless data compression. ACM Trans Comput Syst 24:250–291CrossRef Barr KC, Asanović K (2006) Energy-aware lossless data compression. ACM Trans Comput Syst 24:250–291CrossRef
35.
Zurück zum Zitat Karakonstantis G, Panagopoulos G, Roy K (2010) Herqules: system level cross-layer design exploration for efficient energy-quality trade-offs. In: Proceedings of ISLPED, pp 117–122 Karakonstantis G, Panagopoulos G, Roy K (2010) Herqules: system level cross-layer design exploration for efficient energy-quality trade-offs. In: Proceedings of ISLPED, pp 117–122
36.
Zurück zum Zitat Raha A, Raghunathan V (2017) Synergistic approximation of computation and memory subsystems for error-resilient applications. IEEE Embed Syst Lett 9(1):21–24CrossRef Raha A, Raghunathan V (2017) Synergistic approximation of computation and memory subsystems for error-resilient applications. IEEE Embed Syst Lett 9(1):21–24CrossRef
37.
Zurück zum Zitat Ko T, Charbiwala ZM, Ahmadian S, Rahimi M, Srivastava MB, Soatto S, Estrin D (2007) Exploring tradeoffs in accuracy, energy and latency of scale invariant feature transform in wireless camera networks. In: ICDCS, pp 313–320 Ko T, Charbiwala ZM, Ahmadian S, Rahimi M, Srivastava MB, Soatto S, Estrin D (2007) Exploring tradeoffs in accuracy, energy and latency of scale invariant feature transform in wireless camera networks. In: ICDCS, pp 313–320
38.
Zurück zum Zitat Wikipedia (2017). JPEG — Wikipedia, the free Encyclopedia Wikipedia (2017). JPEG — Wikipedia, the free Encyclopedia
39.
40.
Zurück zum Zitat Monsoon Solutions Inc. (May 2017) Power monitor Monsoon Solutions Inc. (May 2017) Power monitor
Metadaten
Titel
Approximate Systems: Synergistically Approximating Sensing, Computing, Memory, and Communication Subsystems for Energy Efficiency
verfasst von
Arnab Raha
Vijay Raghunathan
Copyright-Jahr
2019
DOI
https://doi.org/10.1007/978-3-319-99322-5_17

Neuer Inhalt