Skip to main content

2012 | OriginalPaper | Buchkapitel

2. Background and Motivation

verfasst von : Pierre-Emmanuel Gaillardon, Ian O’Connor, Fabien Clermidy

Erschienen in: Disruptive Logic Architectures and Technologies

Verlag: Springer New York

Aktivieren Sie unsere intelligente Suche um passende Fachinhalte oder Patente zu finden.

search-config
loading …

Abstract

In this chapter, we aim to present the background and the motivation of this thesis work. We will first give an overview of the Field Programmable Gate Array architecture, which is today the most widely used reconfigurable circuit. After describing its conventional structure, we will detail current trends in architectural organization. Then, we will survey the literature to see how disruptive technologies are used to propose drastic evolutions in the field. We will in particular show how dense nanowires can be used to build logic fabrics in a crossbar organization, and also how the use of carbon electronics allows the construction of interesting logic functionalities. Finally, we will try to formalize the various approaches into a hierarchical representation and compare it to the conventional structure. This representation will help to define the objectives of this work. We mainly intend to propose a digital reconfigurable circuit based on real-life disruptive technologies. This is an important point, since even if a potential technology opens the way towards new phenomena, it is fundamental to work closely with technologists and to keep in mind its feasibility from an industrial perspective. In this context, we will continuously try, in this thesis work, to take into account the technology requirements when designing a circuit.

Sie haben noch keine Lizenz? Dann Informieren Sie sich jetzt über unsere Produkte:

Springer Professional "Wirtschaft+Technik"

Online-Abonnement

Mit Springer Professional "Wirtschaft+Technik" erhalten Sie Zugriff auf:

  • über 102.000 Bücher
  • über 537 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Maschinenbau + Werkstoffe
  • Versicherung + Risiko

Jetzt Wissensvorsprung sichern!

Springer Professional "Technik"

Online-Abonnement

Mit Springer Professional "Technik" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 390 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Maschinenbau + Werkstoffe




 

Jetzt Wissensvorsprung sichern!

Literatur
1.
Zurück zum Zitat J. Birkner, Reduce random-logic complexity. Electron. Des. 26(17), 98–105 (1978) J. Birkner, Reduce random-logic complexity. Electron. Des. 26(17), 98–105 (1978)
2.
Zurück zum Zitat W. Carter, K. Duong, R.H. Freeman, H. Hsieh, J.Y. Ja, J.E. Mahoney, L.T. Ngo, S.L. Sze, A user programmable reconfigurable gate array, in Procedings of the Custom Integrated Circuits Conference, May 1986, pp. 233–235 W. Carter, K. Duong, R.H. Freeman, H. Hsieh, J.Y. Ja, J.E. Mahoney, L.T. Ngo, S.L. Sze, A user programmable reconfigurable gate array, in Procedings of the Custom Integrated Circuits Conference, May 1986, pp. 233–235
3.
Zurück zum Zitat H.S. Stone, Parallel processing with the perfect shuffle. IEEE Trans. Comp. C 20(2), 153–161 (1971) H.S. Stone, Parallel processing with the perfect shuffle. IEEE Trans. Comp. C 20(2), 153–161 (1971)
7.
Zurück zum Zitat D. Marple, L. Cooke, An MPGA compatible FPGA architecture. Proceedings of the IEEE Custom Integrated Circuits Conference, 3–6 May 1992, pp. 4.2.1–4.2.4 D. Marple, L. Cooke, An MPGA compatible FPGA architecture. Proceedings of the IEEE Custom Integrated Circuits Conference, 3–6 May 1992, pp. 4.2.1–4.2.4
8.
Zurück zum Zitat P. Dillien, Adaptive hardware becomes a reality using electrically reconfigurable arrays (ERAs), in IEE Colloquium on User-Configurable Logic—Technology and Applications, 1 Mar 1991, pp. 2/1–2/10 P. Dillien, Adaptive hardware becomes a reality using electrically reconfigurable arrays (ERAs), in IEE Colloquium on User-Configurable Logic—Technology and Applications, 1 Mar 1991, pp. 2/1–2/10
9.
Zurück zum Zitat A. El Gamal, J. Greene, J. Reyneri, E. Rogoyski, K.A. El-Ayat, A. Mohsen, An architecture for electrically configurable gate arrays. IEEE J. Solid-State Circuits 24(2), 394–398 (1989)CrossRef A. El Gamal, J. Greene, J. Reyneri, E. Rogoyski, K.A. El-Ayat, A. Mohsen, An architecture for electrically configurable gate arrays. IEEE J. Solid-State Circuits 24(2), 394–398 (1989)CrossRef
10.
Zurück zum Zitat B. Small, The flexibility of the quicklogic FPGA architecture. WESCON, 27–29, pp. 688–691 (1994) B. Small, The flexibility of the quicklogic FPGA architecture. WESCON, 27–29, pp. 688–691 (1994)
11.
Zurück zum Zitat V. Betz, J. Rose, A. Marquart, Architecture and CAD for deep-submicron FPGAs (Kluwer Academic Publishers, New York, 1999)CrossRef V. Betz, J. Rose, A. Marquart, Architecture and CAD for deep-submicron FPGAs (Kluwer Academic Publishers, New York, 1999)CrossRef
12.
Zurück zum Zitat J. Rose, S. Brown, Flexibility of interconnection structures for field-programmable gate arrays. IEEE J. Solid State Circuits 26(3), 277–282 (1991)CrossRef J. Rose, S. Brown, Flexibility of interconnection structures for field-programmable gate arrays. IEEE J. Solid State Circuits 26(3), 277–282 (1991)CrossRef
13.
Zurück zum Zitat E. Ahmed, The effect of logic block granularity on deep-submicron FPGA performance and density. Master thesis, University of Toronto (2001) E. Ahmed, The effect of logic block granularity on deep-submicron FPGA performance and density. Master thesis, University of Toronto (2001)
14.
Zurück zum Zitat E. Ahmed, J. Rose, The effect of LUT and cluster size on deep-submicron FPGA performance and density. IEEE Trans. Very Large Scale Integr. (VLSI) Syst. 12(3), 288–298, (2004) E. Ahmed, J. Rose, The effect of LUT and cluster size on deep-submicron FPGA performance and density. IEEE Trans. Very Large Scale Integr. (VLSI) Syst. 12(3), 288–298, (2004)
17.
Zurück zum Zitat J. McCollum, H.-S. Chen, F. Hawley, Non-volatile programmable memory cell for programmable logic array, U.S. Patent No. 0064484, 2007 J. McCollum, H.-S. Chen, F. Hawley, Non-volatile programmable memory cell for programmable logic array, U.S. Patent No. 0064484, 2007
18.
Zurück zum Zitat J. McCollum, G. Bakker, J. Greene, Non-volatile look-up table for an FPGA, U.S. Patent No. 0007293, 2008 J. McCollum, G. Bakker, J. Greene, Non-volatile look-up table for an FPGA, U.S. Patent No. 0007293, 2008
19.
Zurück zum Zitat J. Lipp, D. Freeman, U. Broze, M. Caywood, G. Nolan, A general purpose, non-volatile reprogrammable switch, WO Patent No. 01499, 1996 J. Lipp, D. Freeman, U. Broze, M. Caywood, G. Nolan, A general purpose, non-volatile reprogrammable switch, WO Patent No. 01499, 1996
20.
Zurück zum Zitat K.J. Han, N. Chan, S. Kim, B. Leung, V. Hecht, B. Cronquist, A novel flash-based FPGA technology with deep trench isolation. Proceedings of the IEEE Non-Volatile Semiconductor Memory Workshop, 26–30 Aug. 2007, pp. 32–33 K.J. Han, N. Chan, S. Kim, B. Leung, V. Hecht, B. Cronquist, A novel flash-based FPGA technology with deep trench isolation. Proceedings of the IEEE Non-Volatile Semiconductor Memory Workshop, 26–30 Aug. 2007, pp. 32–33
21.
Zurück zum Zitat N. Bruchon, L. Torres, G. Sassatelli, G. Cambon, New nonvolatile FPGA concept using magnetic tunneling junction, in Proceedings of the IEEE Computer Society Annual Symposium on Emerging VLSI Technologies and Architectures, 2–3 March 2006, p. 6 N. Bruchon, L. Torres, G. Sassatelli, G. Cambon, New nonvolatile FPGA concept using magnetic tunneling junction, in Proceedings of the IEEE Computer Society Annual Symposium on Emerging VLSI Technologies and Architectures, 2–3 March 2006, p. 6
22.
Zurück zum Zitat M. Lin, A. El Gamal, Y.-C. Lu, S. Wong, Performance benefits of monolithically stacked 3-D FPGA. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 26(2), 216–229 (2007)CrossRef M. Lin, A. El Gamal, Y.-C. Lu, S. Wong, Performance benefits of monolithically stacked 3-D FPGA. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 26(2), 216–229 (2007)CrossRef
23.
Zurück zum Zitat M. Hutton, V. Chan, P. Kazarian, V. Maruri, T. Ngai, J. Park, R. Patel, B. Pedersen, J. Schleicher, S. Shumarayev, Interconnect enhancements for a high-speed PLD architecture, in Proceedings of the ACM/SIGDA 10th International Symposium on FPGA (2002), p. 3 M. Hutton, V. Chan, P. Kazarian, V. Maruri, T. Ngai, J. Park, R. Patel, B. Pedersen, J. Schleicher, S. Shumarayev, Interconnect enhancements for a high-speed PLD architecture, in Proceedings of the ACM/SIGDA 10th International Symposium on FPGA (2002), p. 3
24.
Zurück zum Zitat D. Lewis, E. Ahmed, G. Baeckler, V. Betz, M. Bourgeault, D. Cashman, D. Galloway, M. Hutton, C. Lane, A. Lee, P. Leventis, S. Marquardt, C. McClintock, K. Padalia, B. Pedersen, G. Powell, B. Ratchev, S. Reddy, J. Schleicher, K. Stevens, R. Yuan, R. Cliff, J. Rose, The Stratix II logic and routing architecture, in Abstracts of the ACM/SIGDA 13th International Symposium on. FPGA (2005), p. 14 D. Lewis, E. Ahmed, G. Baeckler, V. Betz, M. Bourgeault, D. Cashman, D. Galloway, M. Hutton, C. Lane, A. Lee, P. Leventis, S. Marquardt, C. McClintock, K. Padalia, B. Pedersen, G. Powell, B. Ratchev, S. Reddy, J. Schleicher, K. Stevens, R. Yuan, R. Cliff, J. Rose, The Stratix II logic and routing architecture, in Abstracts of the ACM/SIGDA 13th International Symposium on. FPGA (2005), p. 14
25.
Zurück zum Zitat E. Kusse, J. Rabaey, Low-energy embedded FPGA structures Paper presented at the International Symposium on Low Power Electronics and Design (1998), p. 155 E. Kusse, J. Rabaey, Low-energy embedded FPGA structures Paper presented at the International Symposium on Low Power Electronics and Design (1998), p. 155
26.
Zurück zum Zitat L. Shang, A.S. Kaviani, K. Bathala, Dynamic power consumption in Virtex-II FPGA family, in Proceedings of the ACM/SIGDA tenth International Symposium on. FPGA (2002), p. 157 L. Shang, A.S. Kaviani, K. Bathala, Dynamic power consumption in Virtex-II FPGA family, in Proceedings of the ACM/SIGDA tenth International Symposium on. FPGA (2002), p. 157
27.
Zurück zum Zitat V. Degalahal, T. Tuan, Methodology for high level estimation of FPGA power consumption, in Proceedings of the Design Automation Conference, 2005, p. 657 V. Degalahal, T. Tuan, Methodology for high level estimation of FPGA power consumption, in Proceedings of the Design Automation Conference, 2005, p. 657
28.
Zurück zum Zitat I. Kuon, J. Rose, Measuring the gap between FPGAs and ASICs, in Proceedings of the ACM/SIGDA 14th International Symposium on FPGA, 2006, p. 21 I. Kuon, J. Rose, Measuring the gap between FPGAs and ASICs, in Proceedings of the ACM/SIGDA 14th International Symposium on FPGA, 2006, p. 21
30.
Zurück zum Zitat R.C. Eberhardt, R.W. Dobbins, Neural Networks PC Tools—A practical guide. (Academic Press Inc., San Diego, 1990) R.C. Eberhardt, R.W. Dobbins, Neural Networks PC Tools—A practical guide. (Academic Press Inc., San Diego, 1990)
31.
Zurück zum Zitat J.J. Hopfield, Neural networks and physical systems with emergent collective computational abilities. Proc. Natl. Acad. Sci. U.S.A. 79, 2254–2258 (1982)MathSciNetCrossRef J.J. Hopfield, Neural networks and physical systems with emergent collective computational abilities. Proc. Natl. Acad. Sci. U.S.A. 79, 2254–2258 (1982)MathSciNetCrossRef
32.
Zurück zum Zitat J. Hoekstra, E. Rouw, in Modeling of Dendritic Computation: The Single Dendrite, vol. 517 (The American Institute of Physics, Melville, 2000), pp. 308–322 J. Hoekstra, E. Rouw, in Modeling of Dendritic Computation: The Single Dendrite, vol. 517 (The American Institute of Physics, Melville, 2000), pp. 308–322
33.
Zurück zum Zitat C. Mead, Analog VLSI and Neural Systems (Addison Wesley, Menlo Park, 1989) C. Mead, Analog VLSI and Neural Systems (Addison Wesley, Menlo Park, 1989)
34.
Zurück zum Zitat D.B. Strukov, K.K. Likharev, Reconfigurable hybrid CMOS/nanodevice circuits for image processing. IEEE Trans. Nanotechnol. 6(6), 696–710 (2007)CrossRef D.B. Strukov, K.K. Likharev, Reconfigurable hybrid CMOS/nanodevice circuits for image processing. IEEE Trans. Nanotechnol. 6(6), 696–710 (2007)CrossRef
35.
Zurück zum Zitat W. Wu, G.-Y. Jung, D.L. Olynick, J. Straznicky, Z. Li, X. Li, D.A.A. Ohlberg, Y. Chen, S.-Y. Wang, J.A. Liddle, W.M. Tong, R.S. Williams, One-kilobit cross-bar molecular memory circuits at 30-nm half-pitch fabricated by nanoimprint lithography. Appl. Phys. A Mater. Sci. Process. 80(6), 1173–1178 (2005)CrossRef W. Wu, G.-Y. Jung, D.L. Olynick, J. Straznicky, Z. Li, X. Li, D.A.A. Ohlberg, Y. Chen, S.-Y. Wang, J.A. Liddle, W.M. Tong, R.S. Williams, One-kilobit cross-bar molecular memory circuits at 30-nm half-pitch fabricated by nanoimprint lithography. Appl. Phys. A Mater. Sci. Process. 80(6), 1173–1178 (2005)CrossRef
36.
Zurück zum Zitat Y. Luo, C.P. Collier, J.O. Jeppesen, K.A. Nielsen, E. DeIonno, G. Ho, J. Perkins, H.-R. Tseng, T. Yamamoto, J.F. Stoddart, J.R. Heath, Two-dimensional molecular electronics circuits. J. Chem. Phys. Phys. Chem. 3, 519–525 (2002) Y. Luo, C.P. Collier, J.O. Jeppesen, K.A. Nielsen, E. DeIonno, G. Ho, J. Perkins, H.-R. Tseng, T. Yamamoto, J.F. Stoddart, J.R. Heath, Two-dimensional molecular electronics circuits. J. Chem. Phys. Phys. Chem. 3, 519–525 (2002)
37.
Zurück zum Zitat J.E. Green, J. Wook Choi, A. Boukai, Y. Bunimovich, E. Johnston-Halperin, E. Deionno, Y. Luo, B.A. Sheriff, K. Xu, Y. Shik Shin, H.-R. Tseng, J.F. Stoddart, J.R. Heath, A 160-kilobit molecular electronic memory patterned at 1011 bits per square centimetre. Nature 445, 414–417 (2007)CrossRef J.E. Green, J. Wook Choi, A. Boukai, Y. Bunimovich, E. Johnston-Halperin, E. Deionno, Y. Luo, B.A. Sheriff, K. Xu, Y. Shik Shin, H.-R. Tseng, J.F. Stoddart, J.R. Heath, A 160-kilobit molecular electronic memory patterned at 1011 bits per square centimetre. Nature 445, 414–417 (2007)CrossRef
38.
Zurück zum Zitat S.C. Goldstein, M. Budiu, NanoFabrics: spatial computing using molecular electronics, in Proceedings of the 28th Annual International Symposium on Computer Architecture (2001), pp. 178–189 S.C. Goldstein, M. Budiu, NanoFabrics: spatial computing using molecular electronics, in Proceedings of the 28th Annual International Symposium on Computer Architecture (2001), pp. 178–189
39.
Zurück zum Zitat S. Goldstein, D. Rosewater, Digital logic using molecular electronics.Proceedings of the IEEE International Solid-State Circuits Conference. vol. 1, 2002, pp. 204–459 S. Goldstein, D. Rosewater, Digital logic using molecular electronics.Proceedings of the IEEE International Solid-State Circuits Conference. vol. 1, 2002, pp. 204–459
40.
Zurück zum Zitat A. DeHon, Array-based architecture for FET-based, nanoscale electronics. IEEE Trans. Nanotechnol. 2(1), 23–32 (2003)MathSciNetCrossRef A. DeHon, Array-based architecture for FET-based, nanoscale electronics. IEEE Trans. Nanotechnol. 2(1), 23–32 (2003)MathSciNetCrossRef
41.
Zurück zum Zitat A. DeHon, M.J. Wilson, Nanowire-based sublithographic programmable logic arrays, in Proceedings of the 2004 ACM/SIGDA 12th International Symposium on Field Programmable Gate Arrays (2004) A. DeHon, M.J. Wilson, Nanowire-based sublithographic programmable logic arrays, in Proceedings of the 2004 ACM/SIGDA 12th International Symposium on Field Programmable Gate Arrays (2004)
42.
Zurück zum Zitat A. DeHon, P. Lincoln, J. Savage, Stochastic assembly of sublithographic nanoscale interfaces. IEEE Trans. Nanotechnol. 2(3), 165–174 (2003)CrossRef A. DeHon, P. Lincoln, J. Savage, Stochastic assembly of sublithographic nanoscale interfaces. IEEE Trans. Nanotechnol. 2(3), 165–174 (2003)CrossRef
43.
Zurück zum Zitat C.A. Moritz, T. Wang, Latching on the wire and pipelining in nanoscale designs, in Proceedings of the 3rd Workshop on Non-Silicon Computation (NSC-3), June 2004 C.A. Moritz, T. Wang, Latching on the wire and pipelining in nanoscale designs, in Proceedings of the 3rd Workshop on Non-Silicon Computation (NSC-3), June 2004
44.
Zurück zum Zitat T. Wang, P. Narayanan, C. A. Moritz, Combining 2-level logic families in grid-based nanoscale fabrics, in Proceedings of the IEEE/ACM International Symposium on Nanoscale Architectures(NANOARCH), Oct 2007 T. Wang, P. Narayanan, C. A. Moritz, Combining 2-level logic families in grid-based nanoscale fabrics, in Proceedings of the IEEE/ACM International Symposium on Nanoscale Architectures(NANOARCH), Oct 2007
45.
Zurück zum Zitat P. Vijayakumar, P. Narayanan, I. Koren, C.M. Krishna, C.A. Moritz, Impact of nanomanufacturing flow on systematic yield losses in nanoscale fabrics, in Proceedings of the IEEE/ACM International Symposium on Nanoscale Architectures (NANOARCH), June 2011 P. Vijayakumar, P. Narayanan, I. Koren, C.M. Krishna, C.A. Moritz, Impact of nanomanufacturing flow on systematic yield losses in nanoscale fabrics, in Proceedings of the IEEE/ACM International Symposium on Nanoscale Architectures (NANOARCH), June 2011
46.
Zurück zum Zitat H. Yan, H.S. Choe, S.W. Nam, Y. Hu, S. Das, J.F. Klemic, J.C. Ellenbogen, C.M. Lieber, Programmable nanowire circuits for nanoprocessors. Nature Lett. 470, 240–244 (2011) H. Yan, H.S. Choe, S.W. Nam, Y. Hu, S. Das, J.F. Klemic, J.C. Ellenbogen, C.M. Lieber, Programmable nanowire circuits for nanoprocessors. Nature Lett. 470, 240–244 (2011)
47.
Zurück zum Zitat Y.-M. Lin, J. Appenzeller, J. Knoch, P. Avouris, High-performance carbon nanotube field-effect transistor with tunable polarities. IEEE Trans. Nanotechnol. 4(5), 481–489 (2005) Y.-M. Lin, J. Appenzeller, J. Knoch, P. Avouris, High-performance carbon nanotube field-effect transistor with tunable polarities. IEEE Trans. Nanotechnol. 4(5), 481–489 (2005)
48.
Zurück zum Zitat M.H. Ben Jamaa, D. Atienza, Y. Leblebici, G. De Micheli, Programmable logic circuits based on ambipolar CNFET, in Proceedings of the 45th ACM/IEEE Design Automation Conference, 8–13 June 2008, pp. 339–340 M.H. Ben Jamaa, D. Atienza, Y. Leblebici, G. De Micheli, Programmable logic circuits based on ambipolar CNFET, in Proceedings of the 45th ACM/IEEE Design Automation Conference, 8–13 June 2008, pp. 339–340
49.
Zurück zum Zitat M.H. Ben Jamaa, K. Mohanram, G. De Micheli, Novel library of logic gates with ambipolar CNTFETs: opportunities for multi-level logic synthesis. Paper presented at the Design, Automation & Test in Europe Conference & Exhibition, 20–24 April 2009, pp. 622–627 M.H. Ben Jamaa, K. Mohanram, G. De Micheli, Novel library of logic gates with ambipolar CNTFETs: opportunities for multi-level logic synthesis. Paper presented at the Design, Automation & Test in Europe Conference & Exhibition, 20–24 April 2009, pp. 622–627
50.
Zurück zum Zitat I. O’Connor, J. Liu, F. Gaffiot, F. Pregaldiny, C. Lallement, C. Maneux, J. Goguet, S. Fregonese, T. Zimmer, L. Anghel, T.-T. Dang, R. Leveugle, CNTFET modeling and reconfigurable logic-circuit design. IEEE Trans. Circuits Syst. I Regul. Pap. 54(11), 2365–2379 (2007)CrossRef I. O’Connor, J. Liu, F. Gaffiot, F. Pregaldiny, C. Lallement, C. Maneux, J. Goguet, S. Fregonese, T. Zimmer, L. Anghel, T.-T. Dang, R. Leveugle, CNTFET modeling and reconfigurable logic-circuit design. IEEE Trans. Circuits Syst. I Regul. Pap. 54(11), 2365–2379 (2007)CrossRef
51.
Zurück zum Zitat N. Patil, J. Deng, A. Lin, H.S.-P. Wong, S. Mitra, Design methods for misaligned and mis-positioned carbon-nanotube-immune circuits. IEEE Trans. Comp. Aided Des. (2008) N. Patil, J. Deng, A. Lin, H.S.-P. Wong, S. Mitra, Design methods for misaligned and mis-positioned carbon-nanotube-immune circuits. IEEE Trans. Comp. Aided Des. (2008)
52.
Zurück zum Zitat N. Patil, A. Lin, J. Zhang, H. Wei, K. Anderson, H.-S.P. Wong, S. Mitra, Scalable carbon nanotube computational and storage circuits immune to metallic and mis-positioned carbon nanotubes. IEEE Trans. Nanotechnol. (2010) N. Patil, A. Lin, J. Zhang, H. Wei, K. Anderson, H.-S.P. Wong, S. Mitra, Scalable carbon nanotube computational and storage circuits immune to metallic and mis-positioned carbon nanotubes. IEEE Trans. Nanotechnol. (2010)
53.
Zurück zum Zitat J.R. Heath, P.J. Kuekes, G.S. Snider R.S. Williams, A defect-tolerant computer architecture: opportunities for nanotechnology. Science 280(5370), 1716–1721 (1998) J.R. Heath, P.J. Kuekes, G.S. Snider R.S. Williams, A defect-tolerant computer architecture: opportunities for nanotechnology. Science 280(5370), 1716–1721 (1998)
54.
Zurück zum Zitat W. Huffman, V. Pless, Fundamentals of Error-Correcting Codes (Cambridge University Press, Cambridge, 2003) W. Huffman, V. Pless, Fundamentals of Error-Correcting Codes (Cambridge University Press, Cambridge, 2003)
Metadaten
Titel
Background and Motivation
verfasst von
Pierre-Emmanuel Gaillardon
Ian O’Connor
Fabien Clermidy
Copyright-Jahr
2012
Verlag
Springer New York
DOI
https://doi.org/10.1007/978-1-4614-3058-2_2

Neuer Inhalt