Skip to main content
Erschienen in:
Buchtitelbild

2019 | OriginalPaper | Buchkapitel

1. Beyond-Silicon Devices: Considerations for Circuits and Architectures

verfasst von : Gage Hills, H.-S. Philip Wong, Subhasish Mitra

Erschienen in: Beyond-CMOS Technologies for Next Generation Computer Design

Verlag: Springer International Publishing

Aktivieren Sie unsere intelligente Suche um passende Fachinhalte oder Patente zu finden.

search-config
loading …

Abstract

While the relentless scaling of silicon-based field-effect transistors (FETs) has improved digital system performance for decades, the benefits moving forward are suffering from diminishing returns. How then can digital computing systems meet future energy efficiency requirements, for example, for future Internet-of-Everything (IoE) and abundant-data applications? To answer this outstanding question, a wide range of emerging nanotechnologies are currently being explored to replace silicon as the channel material for future transistors. In particular, carbon nanotube (CNT) FETs (CNFETs) are a highly promising candidate to continue to improve energy efficiency of digital VLSI circuits, as high-performance/energy-efficient CNFETs have been experimentally demonstrated, and larger-scale CNFET circuits and systems integrating millions of CNFETs have been experimentally demonstrated as well. In this chapter, we discuss the benefits of CNFET for VLSI circuits, and describe combined processing and design techniques to transform CNTs from a promising technology into highly energy-efficient digital circuits. Furthermore, CNFETs offer a unique opportunity to realize entirely new three-dimensional (3D) computing architectures, in which multiple layers of CNFET circuits can be densely integrated on top of each other over the same starting substrate, along with layers of memory, truly embodying computation immersed in memory. We provide an overview of the resulting 3D systems, that is, 3D nanosystems, and demonstrate that they offer EDP benefits in the range of 1000× for next-generation abundant-data applications.

Sie haben noch keine Lizenz? Dann Informieren Sie sich jetzt über unsere Produkte:

Springer Professional "Wirtschaft+Technik"

Online-Abonnement

Mit Springer Professional "Wirtschaft+Technik" erhalten Sie Zugriff auf:

  • über 102.000 Bücher
  • über 537 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Maschinenbau + Werkstoffe
  • Versicherung + Risiko

Jetzt Wissensvorsprung sichern!

Springer Professional "Technik"

Online-Abonnement

Mit Springer Professional "Technik" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 390 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Maschinenbau + Werkstoffe




 

Jetzt Wissensvorsprung sichern!

Springer Professional "Wirtschaft"

Online-Abonnement

Mit Springer Professional "Wirtschaft" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 340 Zeitschriften

aus folgenden Fachgebieten:

  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Versicherung + Risiko




Jetzt Wissensvorsprung sichern!

Literatur
1.
Zurück zum Zitat M.M.S. Aly et al., Energy-efficient abundant-data computing: The N3XT 1,000. Computer 48(12), 24–33 (2015)CrossRef M.M.S. Aly et al., Energy-efficient abundant-data computing: The N3XT 1,000. Computer 48(12), 24–33 (2015)CrossRef
2.
Zurück zum Zitat J. Zhang et al., Robust digital VLSI using carbon nanotubes. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 31(4), 453–471 (2012)CrossRef J. Zhang et al., Robust digital VLSI using carbon nanotubes. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 31(4), 453–471 (2012)CrossRef
3.
Zurück zum Zitat C. Qiu et al., Scaling carbon nanotube complementary transistors to 5-nm gate lengths. Science 355(6322), 271–276 (2017)CrossRef C. Qiu et al., Scaling carbon nanotube complementary transistors to 5-nm gate lengths. Science 355(6322), 271–276 (2017)CrossRef
4.
Zurück zum Zitat G.J. Brady et al., Quasi-ballistic carbon nanotube array transistors with current density exceeding Si and GaAs. Sci. Adv. 2(9), e1601240 (2016)CrossRef G.J. Brady et al., Quasi-ballistic carbon nanotube array transistors with current density exceeding Si and GaAs. Sci. Adv. 2(9), e1601240 (2016)CrossRef
5.
Zurück zum Zitat A.D. Franklin et al., Sub-10 nm carbon nanotube transistor. Nano Lett. 12(2), 758–762 (2012)CrossRef A.D. Franklin et al., Sub-10 nm carbon nanotube transistor. Nano Lett. 12(2), 758–762 (2012)CrossRef
6.
Zurück zum Zitat M.M. Shulaker et al., Sensor-to-digital interface built entirely with carbon nanotube fets. IEEE J. Solid State Circuits 49(1), 190–201 (2014)CrossRef M.M. Shulaker et al., Sensor-to-digital interface built entirely with carbon nanotube fets. IEEE J. Solid State Circuits 49(1), 190–201 (2014)CrossRef
7.
Zurück zum Zitat M.M. Shulaker et al., Monolithic 3D integration of logic and memory: Carbon nanotube FETs, resistive RAM, and silicon FETs, in 2015 IEEE International Electron Devices Meeting (IEDM) (IEEE, 2015), pp. 27.4.1–27.4.4 M.M. Shulaker et al., Monolithic 3D integration of logic and memory: Carbon nanotube FETs, resistive RAM, and silicon FETs, in 2015 IEEE International Electron Devices Meeting (IEDM) (IEEE, 2015), pp. 27.4.1–27.4.4
8.
Zurück zum Zitat M.M. Shulaker et al., High-performance carbon nanotube field-effect transistors, in 2014 IEEE International Electron Devices Meeting (IEDM) (IEEE, 2014) M.M. Shulaker et al., High-performance carbon nanotube field-effect transistors, in 2014 IEEE International Electron Devices Meeting (IEDM) (IEEE, 2014)
9.
Zurück zum Zitat R. Park et al., Hysteresis-free carbon nanotube field-effect transistors. ACS Nano 11(5), 4785–4791 (2017)CrossRef R. Park et al., Hysteresis-free carbon nanotube field-effect transistors. ACS Nano 11(5), 4785–4791 (2017)CrossRef
11.
Zurück zum Zitat H. Wei et al., Monolithic three-dimensional integration of carbon nanotube FET complementary logic circuits, in 2013 IEEE International Electron Devices Meeting (IEDM), (IEEE, 2013), pp. 511–514 H. Wei et al., Monolithic three-dimensional integration of carbon nanotube FET complementary logic circuits, in 2013 IEEE International Electron Devices Meeting (IEDM), (IEEE, 2013), pp. 511–514
12.
Zurück zum Zitat D. Sanchez et al., ZSim: fast and accurate microarchitectural simulation of thousand-core systems, in ISCA ‘13, (ACM, New York, 2013) D. Sanchez et al., ZSim: fast and accurate microarchitectural simulation of thousand-core systems, in ISCA ‘13, (ACM, New York, 2013)
13.
Zurück zum Zitat M. Shulaker et al., Experimental demonstration of a fully digital capacitive sensor interface built entirely using carbon-nanotube FETs. IEEE Int. Solid State Circuits Conf. 56, 112–113 (2013) M. Shulaker et al., Experimental demonstration of a fully digital capacitive sensor interface built entirely using carbon-nanotube FETs. IEEE Int. Solid State Circuits Conf. 56, 112–113 (2013)
14.
Zurück zum Zitat M.M. Shulaker et al., Carbon nanotube computer. Nature 501(7468), 526–530 (2013)CrossRef M.M. Shulaker et al., Carbon nanotube computer. Nature 501(7468), 526–530 (2013)CrossRef
15.
Zurück zum Zitat N. Patil et al., Design methods for misaligned and mispositioned carbon-nanotube immune circuits. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 27(10), 1725–1736 (2008)CrossRef N. Patil et al., Design methods for misaligned and mispositioned carbon-nanotube immune circuits. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 27(10), 1725–1736 (2008)CrossRef
16.
Zurück zum Zitat N. Patil et al., VMR: VLSI-compatible metallic carbon nanotube removal for imperfection-immune cascaded multi-stage digital logic circuits using carbon nanotube FETs, in 2009 IEEE International Electron Devices Meeting (IEDM) (IEEE, 2009) N. Patil et al., VMR: VLSI-compatible metallic carbon nanotube removal for imperfection-immune cascaded multi-stage digital logic circuits using carbon nanotube FETs, in 2009 IEEE International Electron Devices Meeting (IEDM) (IEEE, 2009)
17.
Zurück zum Zitat G. Hills et al., Rapid co-optimization of processing and circuit design to overcome carbon nanotube variations. IEEE Trans. Comput. Aided Des. 34(7), 1082–1095 (2015)CrossRef G. Hills et al., Rapid co-optimization of processing and circuit design to overcome carbon nanotube variations. IEEE Trans. Comput. Aided Des. 34(7), 1082–1095 (2015)CrossRef
18.
Zurück zum Zitat N. Patil et al., Wafer-scale growth and transfer of aligned single-walled carbon nanotubes. IEEE Trans. Nanotechnol. 8(4), 498–504 (2009)MathSciNetCrossRef N. Patil et al., Wafer-scale growth and transfer of aligned single-walled carbon nanotubes. IEEE Trans. Nanotechnol. 8(4), 498–504 (2009)MathSciNetCrossRef
19.
Zurück zum Zitat J. Zhang et al., Probabilistic analysis and design of metallic-carbon-nanotube-tolerant digital logic circuits. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 28(9), 1307–1320 (2009)CrossRef J. Zhang et al., Probabilistic analysis and design of metallic-carbon-nanotube-tolerant digital logic circuits. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 28(9), 1307–1320 (2009)CrossRef
20.
Zurück zum Zitat M.M. Shulaker et al., Efficient metallic carbon nanotube removal for highly-scaled technologies, in 2015 IEEE International Electron Devices Meeting (IEDM) (IEEE, 2015) M.M. Shulaker et al., Efficient metallic carbon nanotube removal for highly-scaled technologies, in 2015 IEEE International Electron Devices Meeting (IEDM) (IEEE, 2015)
21.
Zurück zum Zitat J. Zhang et al., Carbon nanotube circuits in the presence of carbon nanotube density variations, in 46th Annual Design Automation Conference (DAC), (IEEE, 2009) pp. 71–76 J. Zhang et al., Carbon nanotube circuits in the presence of carbon nanotube density variations, in 46th Annual Design Automation Conference (DAC), (IEEE, 2009) pp. 71–76
22.
Zurück zum Zitat J. Zhang et al., Carbon nanotube correlation: promising opportunity for CNFET circuit yield enhancement, in 47th Annual Design Automation Conference (DAC) (IEEE, 2010), pp. 889–892 J. Zhang et al., Carbon nanotube correlation: promising opportunity for CNFET circuit yield enhancement, in 47th Annual Design Automation Conference (DAC) (IEEE, 2010), pp. 889–892
23.
Zurück zum Zitat H. Wei et al., Monolithic three-dimensional integrated circuits using carbon nanotube FETs and interconnects, in 2009 IEEE International Electron Devices Meeting (IEDM) (IEEE, 2009), pp. 577–580 H. Wei et al., Monolithic three-dimensional integrated circuits using carbon nanotube FETs and interconnects, in 2009 IEEE International Electron Devices Meeting (IEDM) (IEEE, 2009), pp. 577–580
24.
Zurück zum Zitat P. Stanley-Marble et al., Pinned to the walls – Impact of packaging and application properties on the memory and power walls, in ISLPED 2011 (IEEE, 2011) P. Stanley-Marble et al., Pinned to the walls – Impact of packaging and application properties on the memory and power walls, in ISLPED 2011 (IEEE, 2011)
25.
Zurück zum Zitat G. Fiori et al., Electronics based on two-dimensional materials. Nat. Nanotechnol. 9(10), 768–779 (2014)CrossRef G. Fiori et al., Electronics based on two-dimensional materials. Nat. Nanotechnol. 9(10), 768–779 (2014)CrossRef
26.
Zurück zum Zitat H.Y. Chen et al., HfOx based vertical resistive random access memory for cost-effective 3D cross-point architecture without cell selector, in 2012 IEEE International Electron Devices Meeting (IEDM) (IEEE, 2012) H.Y. Chen et al., HfOx based vertical resistive random access memory for cost-effective 3D cross-point architecture without cell selector, in 2012 IEEE International Electron Devices Meeting (IEDM) (IEEE, 2012)
27.
Zurück zum Zitat M.T. Barako et al., Thermal conduction in vertically aligned copper nanowire arrays and composites. ACS Appl. Mater. Interfaces 7(34), 19251–19259 (2015)CrossRef M.T. Barako et al., Thermal conduction in vertically aligned copper nanowire arrays and composites. ACS Appl. Mater. Interfaces 7(34), 19251–19259 (2015)CrossRef
28.
Zurück zum Zitat M.M. Shulaker et al., Three-dimensional integration of nanotechnologies for computing and data storage on a single chip. Nature 547(7661), 74–78 (2017)CrossRef M.M. Shulaker et al., Three-dimensional integration of nanotechnologies for computing and data storage on a single chip. Nature 547(7661), 74–78 (2017)CrossRef
29.
Zurück zum Zitat M. Gao et al., TETRIS: scalable and efficient neural network accelerator with 3D memory, in ASPLOS, (ACM, New York, 2017) M. Gao et al., TETRIS: scalable and efficient neural network accelerator with 3D memory, in ASPLOS, (ACM, New York, 2017)
31.
Zurück zum Zitat A. Sridhar et al., 3D-ICE: A compact thermal model for early-stage design of liquid-cooled ICs. IEEE Trans. Comput. 63(10), 2576–2589 (2014)MathSciNetCrossRef A. Sridhar et al., 3D-ICE: A compact thermal model for early-stage design of liquid-cooled ICs. IEEE Trans. Comput. 63(10), 2576–2589 (2014)MathSciNetCrossRef
32.
Zurück zum Zitat E. Pop et al., Thermal properties of graphene: fundamentals and applications. MRS Bull. 37(12), 1273–1281 (2012)CrossRef E. Pop et al., Thermal properties of graphene: fundamentals and applications. MRS Bull. 37(12), 1273–1281 (2012)CrossRef
33.
Zurück zum Zitat M. Fuensanta et al., Thermal properties of a novel nanoencapsulated phase change material for thermal energy storage. Thermochim. Acta 565, 95–101 (2013)CrossRef M. Fuensanta et al., Thermal properties of a novel nanoencapsulated phase change material for thermal energy storage. Thermochim. Acta 565, 95–101 (2013)CrossRef
Metadaten
Titel
Beyond-Silicon Devices: Considerations for Circuits and Architectures
verfasst von
Gage Hills
H.-S. Philip Wong
Subhasish Mitra
Copyright-Jahr
2019
DOI
https://doi.org/10.1007/978-3-319-90385-9_1

Neuer Inhalt