Skip to main content

2013 | OriginalPaper | Buchkapitel

3. Buffer Insertion During Timing-Driven Placement

verfasst von : David A. Papa, Igor L. Markov

Erschienen in: Multi-Objective Optimization in Physical Synthesis of Integrated Circuits

Verlag: Springer New York

Aktivieren Sie unsere intelligente Suche, um passende Fachinhalte oder Patente zu finden.

search-config
loading …

Abstract

Physical synthesis tools are responsible for achieving timing closure. Starting with 130 nm designs, multiple cycles are required to cross the chip, making latch placement critical to success. We present a new physical synthesis optimization for latch placement called Rip up and move boxes with linear evaluation (RUMBLE) that uses a linear timing model to optimize timing by simultaneously re-placing multiple gates. RUMBLE runs incrementally and in conjunction with static timing analysis to improve the timing for critical paths that have already been optimized by placement, gate sizing, and buffering.

Sie haben noch keine Lizenz? Dann Informieren Sie sich jetzt über unsere Produkte:

Springer Professional "Wirtschaft+Technik"

Online-Abonnement

Mit Springer Professional "Wirtschaft+Technik" erhalten Sie Zugriff auf:

  • über 102.000 Bücher
  • über 537 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Maschinenbau + Werkstoffe
  • Versicherung + Risiko

Jetzt Wissensvorsprung sichern!

Springer Professional "Technik"

Online-Abonnement

Mit Springer Professional "Technik" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 390 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Maschinenbau + Werkstoffe




 

Jetzt Wissensvorsprung sichern!

Fußnoten
1
The nets in each scenario could include buffers without changing the trends discussed.
 
2
Variations on this theme, such as metrics that incorporate the degree of neighbors’ criticality [13, 20] and the size of the subcircuit bounding box are also possible.
 
3
To improve runtime, one can limit the depth of these cones to a reasonably small constant, as opposed to the exhaustive expansion in [11].
 
Literatur
2.
Zurück zum Zitat Cocchini P (2002) Concurrent flip-flop and repeater insertion for high performance integrated circuits. ICCAD 2002:268–273 Cocchini P (2002) Concurrent flip-flop and repeater insertion for high performance integrated circuits. ICCAD 2002:268–273
3.
Zurück zum Zitat Saxena P, Menezes N, Cocchini P, Kirkpatrick DA (2004) Repeater scaling and its impact on CAD. IEEE Trans CAD 23(4):451–463 Saxena P, Menezes N, Cocchini P, Kirkpatrick DA (2004) Repeater scaling and its impact on CAD. IEEE Trans CAD 23(4):451–463
4.
Zurück zum Zitat Halpin B, Chen CYR, Sehgal N (2001) Timing driven placement using physical net constraints. DAC 2001:780–783 Halpin B, Chen CYR, Sehgal N (2001) Timing driven placement using physical net constraints. DAC 2001:780–783
5.
Zurück zum Zitat Jackson MAB, Kuh ES (1989) Performance-driven placement of cell based IC’s. DAC 1989:370–375 Jackson MAB, Kuh ES (1989) Performance-driven placement of cell based IC’s. DAC 1989:370–375
6.
Zurück zum Zitat Kahng AB, Markov IL (2002) Min-max placement for large-scale timing optimization. ISPD 2002:143–148 Kahng AB, Markov IL (2002) Min-max placement for large-scale timing optimization. ISPD 2002:143–148
7.
Zurück zum Zitat Kong TT (2002) A novel net weighting algorithm for timing-driven placement. ICCAD 2002:172–176 Kong TT (2002) A novel net weighting algorithm for timing-driven placement. ICCAD 2002:172–176
8.
Zurück zum Zitat Marek-Sadowska M, Lin SP (1989) Timing driven placement. ICCAD 1989:94–97 Marek-Sadowska M, Lin SP (1989) Timing driven placement. ICCAD 1989:94–97
9.
Zurück zum Zitat Ou SL, Pedram M (2000) Timing-driven placement based on partitioning with dynamic cut-net control. DAC 2000:472–476CrossRef Ou SL, Pedram M (2000) Timing-driven placement based on partitioning with dynamic cut-net control. DAC 2000:472–476CrossRef
10.
Zurück zum Zitat Trevillyan L et al (2004) An integrated environment for technology closure of deep-submicron IC designs. IEEE Design Test Comput 21(1):14–22CrossRef Trevillyan L et al (2004) An integrated environment for technology closure of deep-submicron IC designs. IEEE Design Test Comput 21(1):14–22CrossRef
11.
Zurück zum Zitat Chang K-H, Markov IL, Bertacco V (2007) Safe delay optimization for physical synthesis. ASP-DAC 2007:628–633 Chang K-H, Markov IL, Bertacco V (2007) Safe delay optimization for physical synthesis. ASP-DAC 2007:628–633
12.
Zurück zum Zitat Ren H et al (2007) Hippocrates: first-do-no-harm detailed placement. ASP-DAC 2007:141–146 Ren H et al (2007) Hippocrates: first-do-no-harm detailed placement. ASP-DAC 2007:141–146
13.
Zurück zum Zitat Wang Q, Lillis J, Sanyal S (2005) An LP-based methodology for improved timing-driven placement. ASP-DAC 2005:1139–1143CrossRef Wang Q, Lillis J, Sanyal S (2005) An LP-based methodology for improved timing-driven placement. ASP-DAC 2005:1139–1143CrossRef
14.
Zurück zum Zitat Chowdhary A et al (2005) How accurately can we model timing in a placement engine? DAC 2005:801–806 Chowdhary A et al (2005) How accurately can we model timing in a placement engine? DAC 2005:801–806
15.
Zurück zum Zitat Alpert CJ et al (2006) Accurate estimation of global buffer delay within a floorplan. IEEE Trans TCAD 25(6):1140–1146 Alpert CJ et al (2006) Accurate estimation of global buffer delay within a floorplan. IEEE Trans TCAD 25(6):1140–1146
16.
Zurück zum Zitat Cong J, He L, Koh C-K, Madden PH (1996) Performance optimization of VLSI interconnect layout. Integration VLSI J 21:1–94MATHCrossRef Cong J, He L, Koh C-K, Madden PH (1996) Performance optimization of VLSI interconnect layout. Integration VLSI J 21:1–94MATHCrossRef
17.
Zurück zum Zitat Otten R (1998) Global wires harmful? ISPD 1998:104–109 Otten R (1998) Global wires harmful? ISPD 1998:104–109
18.
Zurück zum Zitat Nair R, Berman C, Hauge P, Yoffa E (1989) Generation of performance constraints for layout. TCAD 8(8):860–874 Nair R, Berman C, Hauge P, Yoffa E (1989) Generation of performance constraints for layout. TCAD 8(8):860–874
19.
20.
Zurück zum Zitat Luo T, Newmark D, Pan DZ (2006) A new LP based incremental timing driven placement for high performance designs. DAC 2006:1115–1120 Luo T, Newmark D, Pan DZ (2006) A new LP based incremental timing driven placement for high performance designs. DAC 2006:1115–1120
21.
Zurück zum Zitat Alpert CJ et al (2004) Fast and flexible buffer trees that navigate the physical layout environment. DAC 2004:24–29 Alpert CJ et al (2004) Fast and flexible buffer trees that navigate the physical layout environment. DAC 2004:24–29
Metadaten
Titel
Buffer Insertion During Timing-Driven Placement
verfasst von
David A. Papa
Igor L. Markov
Copyright-Jahr
2013
Verlag
Springer New York
DOI
https://doi.org/10.1007/978-1-4614-1356-1_3

Neuer Inhalt