Skip to main content

Tipp

Weitere Kapitel dieses Buchs durch Wischen aufrufen

2023 | OriginalPaper | Buchkapitel

2. CGRA-Hintergrund und verwandte Arbeiten

Zusammenfassung

Moderne eingebettete Anwendungen erfordern eine hohe Rechenleistung unter strengen Energiebeschränkungen. Für Anwendungen im Bereich der digitalen Signalverarbeitung bieten feldprogrammierbare Gate-Arrays (FPGAs) eine sehr flexible Verarbeitungsplattform. Aufgrund der Rekonfigurierbarkeit auf Bitebene ist der Overhead solcher Architekturen jedoch hoch. Sowohl in Bezug auf Energie, Fläche als auch Leistung. Grobkörnige rekonfigurierbare Architekturen beseitigen einen Großteil dieses Overheads, allerdings auf Kosten einer gewissen Flexibilität. Obwohl in der Vergangenheit viele Veröffentlichungen über CGRAs erschienen sind, ist nicht wirklich klar, was genau eine CGRA ausmacht. Aus diesem Grund wird in diesem Kapitel definiert, was eine CGRA ist, und diese Definition anhand einer großen Anzahl von zuvor vorgestellten Architekturen bewertet. Diese Definition hängt von der Rekonfigurationsgranularität einer Architektur sowohl in der zeitlichen als auch in der räumlichen Domäne ab. Darüber hinaus bietet das Kapitel dem Leser einen Überblick über die untersuchten CGRAs und schlägt einige Forschungsthemen vor, die CGRAs in Zukunft verbessern könnten.

Sie haben noch keine Lizenz? Dann Informieren Sie sich jetzt über unsere Produkte:

Springer Professional "Wirtschaft+Technik"

Online-Abonnement

Mit Springer Professional "Wirtschaft+Technik" erhalten Sie Zugriff auf:

  • über 102.000 Bücher
  • über 537 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Maschinenbau + Werkstoffe
  • Versicherung + Risiko

Jetzt Wissensvorsprung sichern!

Springer Professional "Technik"

Online-Abonnement

Mit Springer Professional "Technik" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 390 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Maschinenbau + Werkstoffe




 

Jetzt Wissensvorsprung sichern!

Literatur
4.
Zurück zum Zitat A. Kumar, Analysis, design and management of multimedia multiprocessor systems. Ph.D. thesis, Eindhoven University of Technology, 2009 A. Kumar, Analysis, design and management of multimedia multiprocessor systems. Ph.D. thesis, Eindhoven University of Technology, 2009
7.
Zurück zum Zitat Y. Qian, S. Carr, P. Sweany, Loop fusion for clustered VLIW architectures. ACM SIGPLAN Not. 37(7), 112–119 (2002) CrossRef Y. Qian, S. Carr, P. Sweany, Loop fusion for clustered VLIW architectures. ACM SIGPLAN Not. 37(7), 112–119 (2002) CrossRef
13.
Zurück zum Zitat B. Sutter, P. Raghavan, A. Lambrechts, Coarse-grained reconfigurable array architectures, in Handbook of Signal Processing Systems (Springer US, Boston, 2010). ISBN: 978-1-4419-6345-1 B. Sutter, P. Raghavan, A. Lambrechts, Coarse-grained reconfigurable array architectures, in Handbook of Signal Processing Systems (Springer US, Boston, 2010). ISBN: 978-1-4419-6345-1
14.
Zurück zum Zitat R. Hartenstein, A decade of reconfigurable computing: a visionary retrospective, in Proceedings of the Conference on Design, Automation and Test in Europe (IEEE Press, Piscataway, 2001) R. Hartenstein, A decade of reconfigurable computing: a visionary retrospective, in Proceedings of the Conference on Design, Automation and Test in Europe (IEEE Press, Piscataway, 2001)
15.
Zurück zum Zitat R. Tessier, K. Pocek, A. DeHon, Reconfigurable computing architectures, Proc. IEEE 103(3), 332–354 (2015). ISSN:0018-9219 R. Tessier, K. Pocek, A. DeHon, Reconfigurable computing architectures, Proc. IEEE 103(3), 332–354 (2015). ISSN:0018-9219
16.
Zurück zum Zitat P. E. Gaillardon, Reconfigurable Logic: Architecture, Tools, and Applications, Devices, Circuits, and Systems (CRC Press, Boca Raton, 2015). ISBN: 9781482262193 P. E. Gaillardon, Reconfigurable Logic: Architecture, Tools, and Applications, Devices, Circuits, and Systems (CRC Press, Boca Raton, 2015). ISBN: 9781482262193
18.
Zurück zum Zitat P. Groeneveld, P. Stravers, Ocean: the sea-of-gates design system. Faculty of Electrical Engineering, Delft University of Technology, Delft, 1993 P. Groeneveld, P. Stravers, Ocean: the sea-of-gates design system. Faculty of Electrical Engineering, Delft University of Technology, Delft, 1993
19.
Zurück zum Zitat V. Tehre, R. Kshirsagar, Survey on coarse grained reconfigurable architectures. Int. J. Comput. Appl. 48(16), 1–7 (2012) V. Tehre, R. Kshirsagar, Survey on coarse grained reconfigurable architectures. Int. J. Comput. Appl. 48(16), 1–7 (2012)
20.
Zurück zum Zitat R. W. Hartenstein et al., A novel ASIC design approach based on a new machine paradigm. IEEE J. Solid State Circuits 26(7), 975–989 (1991) CrossRef R. W. Hartenstein et al., A novel ASIC design approach based on a new machine paradigm. IEEE J. Solid State Circuits 26(7), 975–989 (1991) CrossRef
21.
Zurück zum Zitat D. C. Chen, J. M. Rabaey, A reconfigurable multiprocessor IC for rapid prototyping of algorithmic-specific high-speed DSP data paths. IEEE J. Solid State Circuits 27(12), 1895–1904 (1992). ISSN: 0018-9200 CrossRef D. C. Chen, J. M. Rabaey, A reconfigurable multiprocessor IC for rapid prototyping of algorithmic-specific high-speed DSP data paths. IEEE J. Solid State Circuits 27(12), 1895–1904 (1992). ISSN: 0018-9200 CrossRef
22.
Zurück zum Zitat A.K.W. Yeung, J.M. Rabaey, A reconfigurable data-driven multiprocessor architecture for rapid prototyping of high throughput DSP algorithms, in Proceeding of the Twenty-Sixth Hawaii International Conference on System Sciences, 1993, Bd. 1 (IEEE, New York, 1993) A.K.W. Yeung, J.M. Rabaey, A reconfigurable data-driven multiprocessor architecture for rapid prototyping of high throughput DSP algorithms, in Proceeding of the Twenty-Sixth Hawaii International Conference on System Sciences, 1993, Bd. 1 (IEEE, New York, 1993)
23.
Zurück zum Zitat R.W. Hartenstein, R. Kress, A datapath synthesis system for the reconfigurable datapath architecture, in Design Automation Conference, 1995. Proceedings of the ASP-DAC’95/CHDL’95/VLSI’95, IFIP International Conference on Hardware Description Languages. IFIP International Conference on Very Large Scale Integration (IEEE, 1995, Chiba, Japan) R.W. Hartenstein, R. Kress, A datapath synthesis system for the reconfigurable datapath architecture, in Design Automation Conference, 1995. Proceedings of the ASP-DAC’95/CHDL’95/VLSI’95, IFIP International Conference on Hardware Description Languages. IFIP International Conference on Very Large Scale Integration (IEEE, 1995, Chiba, Japan)
24.
Zurück zum Zitat R. Bittner, M. Musgrove, P. Athanas, Colt: an experiment in wormhole run-time reconfiguration, in Photonics East Conference on High-Speed Computing, Digital Signal Processing, and Filtering Using FPGAs (1996, Boston, MA, United States) R. Bittner, M. Musgrove, P. Athanas, Colt: an experiment in wormhole run-time reconfiguration, in Photonics East Conference on High-Speed Computing, Digital Signal Processing, and Filtering Using FPGAs (1996, Boston, MA, United States)
25.
Zurück zum Zitat E. Mirsky, A. DeHon, MATRIX: a reconfigurable computing architecture with configurable instruction distribution and deployable resources, in Proceedings of the IEEE Symposium on FPGAs for Custom Computing Machines, 1996 (IEEE, New York, 1996) E. Mirsky, A. DeHon, MATRIX: a reconfigurable computing architecture with configurable instruction distribution and deployable resources, in Proceedings of the IEEE Symposium on FPGAs for Custom Computing Machines, 1996 (IEEE, New York, 1996)
26.
Zurück zum Zitat D. C. Cronquist et al., Architecture design of reconfigurable pipelined datapaths, in Proceedings of the 20th Anniversary Conference on Advanced Research in VLSI, 1999. (IEEE, New York, 1999) D. C. Cronquist et al., Architecture design of reconfigurable pipelined datapaths, in Proceedings of the 20th Anniversary Conference on Advanced Research in VLSI, 1999. (IEEE, New York, 1999)
27.
Zurück zum Zitat D.C. Cronquist et al., Specifying and compiling applications for RaPiD, in Proceedings of the IEEE Symposium on FPGAs for Custom Computing Machines, 1998, April 1998, Napa Valley, CA, USA D.C. Cronquist et al., Specifying and compiling applications for RaPiD, in Proceedings of the IEEE Symposium on FPGAs for Custom Computing Machines, 1998, April 1998, Napa Valley, CA, USA
28.
Zurück zum Zitat J.R. Hauser, J. Wawrzynek, Garp: a MIPS processor with a reconfigurable coprocessor, in The 5th Annual IEEE Symposium on Field-Programmable Custom Computing Machines, April 1997 J.R. Hauser, J. Wawrzynek, Garp: a MIPS processor with a reconfigurable coprocessor, in The 5th Annual IEEE Symposium on Field-Programmable Custom Computing Machines, April 1997
29.
Zurück zum Zitat E. Waingold, Baring it all to software: raw machines. Computer 30(9), 86–93 (1997) CrossRef E. Waingold, Baring it all to software: raw machines. Computer 30(9), 86–93 (1997) CrossRef
30.
Zurück zum Zitat C. Selvidge et al., TIERS: Topology independent pipelined routing and scheduling for VirtualWire compilation, in Proceedings of the 1995 ACM Third International Symposium on Field-programmable Gate Arrays, FPGA ’95 (ACM, Monterey, 1995). ISBN: 0-89791-743-X C. Selvidge et al., TIERS: Topology independent pipelined routing and scheduling for VirtualWire compilation, in Proceedings of the 1995 ACM Third International Symposium on Field-programmable Gate Arrays, FPGA ’95 (ACM, Monterey, 1995). ISBN: 0-89791-743-X
31.
Zurück zum Zitat S.C. Goldstein et al., PipeRench: a reconfigurable architecture and compiler. Computer, 33(4), 70–77 (2000) S.C. Goldstein et al., PipeRench: a reconfigurable architecture and compiler. Computer, 33(4), 70–77 (2000)
32.
Zurück zum Zitat T. Miyamori, K. Olukotun, REMARC: Reconfigurable multimedia array coprocessor. IEICE Trans. Inf. Syst. E82-D(2), 389–397 (1999) T. Miyamori, K. Olukotun, REMARC: Reconfigurable multimedia array coprocessor. IEICE Trans. Inf. Syst. E82-D(2), 389–397 (1999)
33.
Zurück zum Zitat A. Marshall et al., A reconfigurable arithmetic array for multimedia applications, in Proceedings of the 1999 ACM/SIGDA Seventh International Symposium on Field Programmable Gate Arrays (ACM, New York, 1999) A. Marshall et al., A reconfigurable arithmetic array for multimedia applications, in Proceedings of the 1999 ACM/SIGDA Seventh International Symposium on Field Programmable Gate Arrays (ACM, New York, 1999)
34.
Zurück zum Zitat H. Zhang et al., A 1-V heterogeneous reconfigurable DSP IC for wireless baseband digital signal processing. IEEE J. Solid-State Circ. 35(11), 1697–1704 (2000) CrossRef H. Zhang et al., A 1-V heterogeneous reconfigurable DSP IC for wireless baseband digital signal processing. IEEE J. Solid-State Circ. 35(11), 1697–1704 (2000) CrossRef
35.
Zurück zum Zitat M. Wan et al., Design methodology of a low-energy reconfigurable single-chip DSP system. J. VLSI Signal Process. Syst. Signal Image Video Technol. 28, 1–2 (2001) CrossRef M. Wan et al., Design methodology of a low-energy reconfigurable single-chip DSP system. J. VLSI Signal Process. Syst. Signal Image Video Technol. 28, 1–2 (2001) CrossRef
36.
Zurück zum Zitat G. J. M. Smit et al., The Chameleon architecture for streaming DSP applications. EURASIP J. Embedded Syst. 2007, 078082 (2007) ISSN: 1687-3955 CrossRef G. J. M. Smit et al., The Chameleon architecture for streaming DSP applications. EURASIP J. Embedded Syst. 2007, 078082 (2007) ISSN: 1687-3955 CrossRef
37.
Zurück zum Zitat A. Alsolaim et al., Architecture and application of a dynamically reconfigurable hardware array for future mobile communication systems, in 2000 IEEE Symposium on Field-Programmable Custom Computing Machines (IEEE, New York, 2000) A. Alsolaim et al., Architecture and application of a dynamically reconfigurable hardware array for future mobile communication systems, in 2000 IEEE Symposium on Field-Programmable Custom Computing Machines (IEEE, New York, 2000)
38.
Zurück zum Zitat H. Singh et al., MorphoSys: an integrated reconfigurable system for dataparallel and computation-intensive applications. IEEE Trans. Comput. 49(5), 465–481 (2000). ISSN: 0018-9340 CrossRef H. Singh et al., MorphoSys: an integrated reconfigurable system for dataparallel and computation-intensive applications. IEEE Trans. Comput. 49(5), 465–481 (2000). ISSN: 0018-9340 CrossRef
39.
Zurück zum Zitat Z.A. Ye et al., CHIMAERA: a high-performance architecture with a tightlycoupled reconfigurable functional unit, in Proceedings of the 27th International Symposium on Computer Architecture, 2000 (June 2000, Vancouver, British Columbia, Canada) Z.A. Ye et al., CHIMAERA: a high-performance architecture with a tightlycoupled reconfigurable functional unit, in Proceedings of the 27th International Symposium on Computer Architecture, 2000 (June 2000, Vancouver, British Columbia, Canada)
40.
Zurück zum Zitat K. Mai et al., Smart Memories: a modular reconfigurable architecture, in Proceedings of the International Symposium on Computer Architecture (2000, Vancouver, British Columbia, Canada) K. Mai et al., Smart Memories: a modular reconfigurable architecture, in Proceedings of the International Symposium on Computer Architecture (2000, Vancouver, British Columbia, Canada)
41.
Zurück zum Zitat U. Kapasi et al., The imagine stream processor, in Proceedings 2002 IEEE International Conference on Computer Design (September 2002, Freiburg, Germany) U. Kapasi et al., The imagine stream processor, in Proceedings 2002 IEEE International Conference on Computer Design (September 2002, Freiburg, Germany)
42.
Zurück zum Zitat B. Mei et al., ADRES: an architecture with tightly coupled VLIW processor and coarse-grained reconfigurable matrix, in Field Programmable Logic and Application (Springer, New York, 2003) B. Mei et al., ADRES: an architecture with tightly coupled VLIW processor and coarse-grained reconfigurable matrix, in Field Programmable Logic and Application (Springer, New York, 2003)
43.
Zurück zum Zitat R. David et al., DART: a dynamically reconfigurable architecture dealing with future mobile telecommunications constraints, in Résumé (2003, Fort Lauderdale, Florida) R. David et al., DART: a dynamically reconfigurable architecture dealing with future mobile telecommunications constraints, in Résumé (2003, Fort Lauderdale, Florida)
44.
Zurück zum Zitat H. Corporaal, Microprocessor Architectures: From VLIW to TTA (Wiley, New York, 1997) H. Corporaal, Microprocessor Architectures: From VLIW to TTA (Wiley, New York, 1997)
46.
Zurück zum Zitat V. Baumgarte et al., PACT XPP – A self-reconfigurable data processing architecture. J. Supercomput. 26(2), 167–184 (2003) CrossRefMATH V. Baumgarte et al., PACT XPP – A self-reconfigurable data processing architecture. J. Supercomput. 26(2), 167–184 (2003) CrossRefMATH
47.
Zurück zum Zitat S. Swanson et al., The WaveScalar architecture. ACM Trans. Comput. Syst. 25(2), 1–54 (2007). ISSN: 0734-2071 CrossRef S. Swanson et al., The WaveScalar architecture. ACM Trans. Comput. Syst. 25(2), 1–54 (2007). ISSN: 0734-2071 CrossRef
48.
Zurück zum Zitat K. Sankaralingam et al., Trips: a polymorphous architecture for exploiting ILP, TLP, and DLP. ACM Trans. Archit. Code Optim. 1(1), 62–93 (2004) K. Sankaralingam et al., Trips: a polymorphous architecture for exploiting ILP, TLP, and DLP. ACM Trans. Archit. Code Optim. 1(1), 62–93 (2004)
49.
Zurück zum Zitat Y. Kim et al., Design and evaluation of a coarse-grained reconfigurable architecture, in Proceedings of the ISOCC04 (2004) Y. Kim et al., Design and evaluation of a coarse-grained reconfigurable architecture, in Proceedings of the ISOCC04 (2004)
50.
Zurück zum Zitat G. Cichon et al., Synchronous transfer architecture (STA), in Computer Systems: Architectures, Modeling, and Simulation (Springer, New York, 2004) G. Cichon et al., Synchronous transfer architecture (STA), in Computer Systems: Architectures, Modeling, and Simulation (Springer, New York, 2004)
51.
Zurück zum Zitat M. D. Galanis et al., A reconfigurable coarse-grain data-path for accelerating computational intensive kernels. J. Circ. Syst. Comput. 14(04), 877–893 (2005) CrossRef M. D. Galanis et al., A reconfigurable coarse-grain data-path for accelerating computational intensive kernels. J. Circ. Syst. Comput. 14(04), 877–893 (2005) CrossRef
52.
Zurück zum Zitat A. Danilin, M. Bennebroek, S. Sawitzki, Astra: an advanced space-time reconfigurable architecture, in International Conference on Field Programmable Logic and Applications, 2006. FPL ’06, August 2006, Madrid, Spain A. Danilin, M. Bennebroek, S. Sawitzki, Astra: an advanced space-time reconfigurable architecture, in International Conference on Field Programmable Logic and Applications, 2006. FPL ’06, August 2006, Madrid, Spain
53.
Zurück zum Zitat T. Oppold et al., CRC-concepts and evaluation of processor-like reconfigurable architectures (CRC-Konzepte und Bewertung prozessorartig rekonfigurierbarer Architekturen). IT-Information Technology (vormals IT+ TI) 49(3), 157–164 (2007) CrossRef T. Oppold et al., CRC-concepts and evaluation of processor-like reconfigurable architectures (CRC-Konzepte und Bewertung prozessorartig rekonfigurierbarer Architekturen). IT-Information Technology (vormals IT+ TI) 49(3), 157–164 (2007) CrossRef
54.
Zurück zum Zitat H. Amano et al., Techniques for virtual hardware on a dynamically reconfigurable processor an approach to tough cases, in Field Programmable Logic and Application: 14th International Conference, FPL 2004, Proceedings, Leuven, August 30–September 1, 2004 (Springer, Berlin/Heidelberg, 2004). ISBN: 978-3-540-30117-2 H. Amano et al., Techniques for virtual hardware on a dynamically reconfigurable processor an approach to tough cases, in Field Programmable Logic and Application: 14th International Conference, FPL 2004, Proceedings, Leuven, August 30–September 1, 2004 (Springer, Berlin/Heidelberg, 2004). ISBN: 978-3-540-30117-2
56.
Zurück zum Zitat K. Wakabayashi, T. Okamoto, C-based SoC design flow and EDA tools: an ASIC and system vendor perspective, in IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (December 2000). ISSN: 0278-0070 K. Wakabayashi, T. Okamoto, C-based SoC design flow and EDA tools: an ASIC and system vendor perspective, in IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (December 2000). ISSN: 0278-0070
57.
Zurück zum Zitat J.A. Brenner et al., Optimal simultaneous scheduling, binding and routing for processor-like reconfigurable architectures, in International Conference on Field Programmable Logic and Applications, FPL (2006, Madrid, Spain) J.A. Brenner et al., Optimal simultaneous scheduling, binding and routing for processor-like reconfigurable architectures, in International Conference on Field Programmable Logic and Applications, FPL (2006, Madrid, Spain)
58.
Zurück zum Zitat H. Dutta et al., A holistic approach for tightly coupled reconfigurable parallel processors. Microprocess. Microsyst. 33(1), 53–62 (2009). ISSN: 0141-9331 CrossRef H. Dutta et al., A holistic approach for tightly coupled reconfigurable parallel processors. Microprocess. Microsyst. 33(1), 53–62 (2009). ISSN: 0141-9331 CrossRef
59.
Zurück zum Zitat H. Park, Y. Park, S. Mahlke, Polymorphic pipeline array: a flexible multicore accelerator with virtualized execution for mobile multimedia applications, in Proceedings of the IEEE/ACM International Symposium on Microarchitecture. MICRO (2009). ISBN: 978-1-60558-798-1 H. Park, Y. Park, S. Mahlke, Polymorphic pipeline array: a flexible multicore accelerator with virtualized execution for mobile multimedia applications, in Proceedings of the IEEE/ACM International Symposium on Microarchitecture. MICRO (2009). ISBN: 978-1-60558-798-1
60.
Zurück zum Zitat Y. Park, H. Park, S. Mahlke, CGRA express: accelerating execution using dynamic operation fusion, in Proceedings of the 2009 International Conference on Compilers, Architecture, and Synthesis for Embedded Systems. CASES’09 (ACM, Grenoble, 2009). ISBN: 978-1-60558-626-7 Y. Park, H. Park, S. Mahlke, CGRA express: accelerating execution using dynamic operation fusion, in Proceedings of the 2009 International Conference on Compilers, Architecture, and Synthesis for Embedded Systems. CASES’09 (ACM, Grenoble, 2009). ISBN: 978-1-60558-626-7
62.
Zurück zum Zitat G. Ansaloni, P. Bonzini, L. Pozzi, EGRA: a coarse grained reconfigurable architectural template. IEEE Trans. Very Large Scale Integr (VLSI) Syst. 19(6), 1062–1074 (2011). ISSN: 1063-8210 CrossRef G. Ansaloni, P. Bonzini, L. Pozzi, EGRA: a coarse grained reconfigurable architectural template. IEEE Trans. Very Large Scale Integr (VLSI) Syst. 19(6), 1062–1074 (2011). ISSN: 1063-8210 CrossRef
63.
Zurück zum Zitat V. Govindaraju et al., Dyser: unifying functionality and parallelism specialization for energy-efficient computing. IEEE Micro 32(5), 38–51 (2012) CrossRef V. Govindaraju et al., Dyser: unifying functionality and parallelism specialization for energy-efficient computing. IEEE Micro 32(5), 38–51 (2012) CrossRef
64.
Zurück zum Zitat J. Cong et al., A fully pipelined and dynamically composable architecture of CGRA, in 2014 IEEE 22nd Annual International Symposium on Field-Programmable Custom Computing Machines (FCCM) (IEEE, New York, 2014) J. Cong et al., A fully pipelined and dynamically composable architecture of CGRA, in 2014 IEEE 22nd Annual International Symposium on Field-Programmable Custom Computing Machines (FCCM) (IEEE, New York, 2014)
65.
Zurück zum Zitat J.D. Souza, L.C.M.B. Rutzig, A.C.S. Beck, A reconfigurable heterogeneous multicore with a homogeneous ISA, in 2016 Design, Automation & Test in Europe Conference & Exhibition (DATE 2016, Dresden, Germany) J.D. Souza, L.C.M.B. Rutzig, A.C.S. Beck, A reconfigurable heterogeneous multicore with a homogeneous ISA, in 2016 Design, Automation & Test in Europe Conference & Exhibition (DATE 2016, Dresden, Germany)
68.
Zurück zum Zitat Z. Ebrahimi, A. Kumar, BioCare: an energy-efficient CGRA for bio- signal processing at the edge, in 2021 IEEE International Symposium on Circuits and Systems (ISCAS 2021) (2021, Daegu, Korea) Z. Ebrahimi, A. Kumar, BioCare: an energy-efficient CGRA for bio- signal processing at the edge, in 2021 IEEE International Symposium on Circuits and Systems (ISCAS 2021) (2021, Daegu, Korea)
Metadaten
Titel
CGRA-Hintergrund und verwandte Arbeiten
verfasst von
Mark Wijtvliet
Henk Corporaal
Akash Kumar
Copyright-Jahr
2023
DOI
https://doi.org/10.1007/978-3-031-36650-5_2