Skip to main content
Erschienen in: Cluster Computing 2/2019

09.02.2018

Clock node capacity minimization using lookup table based controller for ultrasound and medical imaging processor

verfasst von: T. Joby Titus, V. Vijayakumari

Erschienen in: Cluster Computing | Sonderheft 2/2019

Einloggen

Aktivieren Sie unsere intelligente Suche, um passende Fachinhalte oder Patente zu finden.

search-config
loading …

Abstract

Low power system on-chip design without performance degradation is the most critical design issue for the processor involved in medical image diagnosis. To make the medical device portable, it is necessary to reduce the processor size with less power consumption. The performance of the chip is affected drastically due to rise in temperature produced by unnecessary internal switching. Hence it is necessary for power optimization and minimizing unnecessary switching. In our proposed work, an efficient level shifting scheme of clock signal can be achieved by utilizing lookup table based level conversion scheme in clock node. The switching activity is verified for various logic network using multi-level conversion scheme with Conditional Capture technique and Improved Conditional Capture technique. It ensures the power saving by turning on a functional logic block only when required and minimizes the unnecessary clock node activity. This paper analyzes the proposed work in 0.6 \(\upmu \)m, 50 n CMOS processor and compares the results with the existing power and delay optimization techniques as it is widely applicable for image analysis.

Sie haben noch keine Lizenz? Dann Informieren Sie sich jetzt über unsere Produkte:

Springer Professional "Wirtschaft+Technik"

Online-Abonnement

Mit Springer Professional "Wirtschaft+Technik" erhalten Sie Zugriff auf:

  • über 102.000 Bücher
  • über 537 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Maschinenbau + Werkstoffe
  • Versicherung + Risiko

Jetzt Wissensvorsprung sichern!

Springer Professional "Technik"

Online-Abonnement

Mit Springer Professional "Technik" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 390 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Maschinenbau + Werkstoffe




 

Jetzt Wissensvorsprung sichern!

Springer Professional "Wirtschaft"

Online-Abonnement

Mit Springer Professional "Wirtschaft" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 340 Zeitschriften

aus folgenden Fachgebieten:

  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Versicherung + Risiko




Jetzt Wissensvorsprung sichern!

Literatur
1.
Zurück zum Zitat Lukefahr, A., Padmanabha, S., Das, R., Dreslinski, R., Wenisch, T.F., Mahlke, S.: Heterogeneous microarchitectures trump voltage scaling for low-power cores. In: PACT ’14 Proceedings of the 23rd International Conference on Parallel Architectures and Compilation, pp. 237–250 (2014) Lukefahr, A., Padmanabha, S., Das, R., Dreslinski, R., Wenisch, T.F., Mahlke, S.: Heterogeneous microarchitectures trump voltage scaling for low-power cores. In: PACT ’14 Proceedings of the 23rd International Conference on Parallel Architectures and Compilation, pp. 237–250 (2014)
2.
Zurück zum Zitat Lin, H.-T., Chang, Y.-L., et al.: Pulsed latch utilization for clock tree optimization. IEEE Trans. VLSI 22(4), 721–733 (2014) Lin, H.-T., Chang, Y.-L., et al.: Pulsed latch utilization for clock tree optimization. IEEE Trans. VLSI 22(4), 721–733 (2014)
3.
Zurück zum Zitat Wimer, S., Korean, I.: Design flow for flip-flop grouping in data-driven clock gating. IEEE Trans. VLSI 22(4), 771–778 (2014) Wimer, S., Korean, I.: Design flow for flip-flop grouping in data-driven clock gating. IEEE Trans. VLSI 22(4), 771–778 (2014)
4.
Zurück zum Zitat Hyman, R., et al.: A clock control strategy for peak power and rms current reduction using path clustering. IEEE Trans. VLSI 21(2), 259–269 (2013) Hyman, R., et al.: A clock control strategy for peak power and rms current reduction using path clustering. IEEE Trans. VLSI 21(2), 259–269 (2013)
5.
Zurück zum Zitat Shyn, Y.-T., et al.: Effective and efficient approach for power reduction by using multibit fliflops. IEEE Trans. VLSI Syst. 21(4), 624–635 (2013) Shyn, Y.-T., et al.: Effective and efficient approach for power reduction by using multibit fliflops. IEEE Trans. VLSI Syst. 21(4), 624–635 (2013)
6.
Zurück zum Zitat Ghosh, A., et al.: Slew-rate monitoring circuit for on-chip process variation detection. IEEE Trans. VLSI Syst. 21(9), 1683–1692 (2013) Ghosh, A., et al.: Slew-rate monitoring circuit for on-chip process variation detection. IEEE Trans. VLSI Syst. 21(9), 1683–1692 (2013)
7.
Zurück zum Zitat Lu, J., et al.: A reconfigurable clock polarity assignment flow for clock gated designs. IEEE Trans. VLSI Syst. 20(6), 1002–1011 (2012) Lu, J., et al.: A reconfigurable clock polarity assignment flow for clock gated designs. IEEE Trans. VLSI Syst. 20(6), 1002–1011 (2012)
8.
Zurück zum Zitat Kuruveettil, H., et al.: Analog front end low noise amplifier in 0.18-\(\mu \)mCMOS for ultrasound imaging applications. Int. J. Electr. Comput. Energ. Electron. Commun. Eng. 7(9), 1168–1172 (2013) Kuruveettil, H., et al.: Analog front end low noise amplifier in 0.18-\(\mu \)mCMOS for ultrasound imaging applications. Int. J. Electr. Comput. Energ. Electron. Commun. Eng. 7(9), 1168–1172 (2013)
9.
Zurück zum Zitat Ding, R.-T., et al.: Cell based process resilient multiphase clock generation. IEEE Trans. VLSI Syst. 21(12), 2348–2352 (2013) Ding, R.-T., et al.: Cell based process resilient multiphase clock generation. IEEE Trans. VLSI Syst. 21(12), 2348–2352 (2013)
10.
Zurück zum Zitat Xu, H., et al.: Timing uncertainity in 3-D clock trees due to process variation and power supply noise. IEEE Trans. VLSI Syst. 21(12), 2226–2239 (2013) Xu, H., et al.: Timing uncertainity in 3-D clock trees due to process variation and power supply noise. IEEE Trans. VLSI Syst. 21(12), 2226–2239 (2013)
11.
Zurück zum Zitat Itan, I., et al.: Simplifying clock gating logic by matching factored forms. IEEE Trans. VLSI Syst. 22(6), 1338–1349 (2014) Itan, I., et al.: Simplifying clock gating logic by matching factored forms. IEEE Trans. VLSI Syst. 22(6), 1338–1349 (2014)
12.
Zurück zum Zitat Wimer, S., et al.: The optimal fan-out of clock network for power minimization by adaptive gating. IEEE Trans. VLSI Syst. 20(10), 1772–1780 (2012) Wimer, S., et al.: The optimal fan-out of clock network for power minimization by adaptive gating. IEEE Trans. VLSI Syst. 20(10), 1772–1780 (2012)
13.
Zurück zum Zitat Hu, X.: Modeling and analysis of power distribution networks in 3-D IC’S. IEEE Trans. VLSI Syst. 21(2), 354–366 (2013) Hu, X.: Modeling and analysis of power distribution networks in 3-D IC’S. IEEE Trans. VLSI Syst. 21(2), 354–366 (2013)
14.
Zurück zum Zitat Pei, S., et al.: A ligh precision on-chip path delay measurement architecture. IEEE Trans. VLSI Syst. 20(9), 1565–1577 (2012) Pei, S., et al.: A ligh precision on-chip path delay measurement architecture. IEEE Trans. VLSI Syst. 20(9), 1565–1577 (2012)
15.
Zurück zum Zitat Katoh, K.: An on-chip delay measurement technique using signature registers for small-delay defect detection. IEEE Trans. VLSI Syst. 20(5), 804–817 (2012) Katoh, K.: An on-chip delay measurement technique using signature registers for small-delay defect detection. IEEE Trans. VLSI Syst. 20(5), 804–817 (2012)
16.
Zurück zum Zitat Lu, J.: Fast power and slew- aware gated clock tree synthesis. IEEE Trans. VLSI Syst. 20(11), 2094–2103 (2012) Lu, J.: Fast power and slew- aware gated clock tree synthesis. IEEE Trans. VLSI Syst. 20(11), 2094–2103 (2012)
17.
Zurück zum Zitat Yin, J.K.: Jitter analysis of polyphase filter-based multiphase clock in frequency multiplier. IEEE Trans. VLSI Syst. 20(8), 1373–1382 (2012) Yin, J.K.: Jitter analysis of polyphase filter-based multiphase clock in frequency multiplier. IEEE Trans. VLSI Syst. 20(8), 1373–1382 (2012)
18.
Zurück zum Zitat Kulkarni, N.: Reducing power leakage and area of standard cell asics using threshold logic flipflops. IEEE Trans. VLSI Syst. 24(9), 2873–2886 (2016) Kulkarni, N.: Reducing power leakage and area of standard cell asics using threshold logic flipflops. IEEE Trans. VLSI Syst. 24(9), 2873–2886 (2016)
19.
Zurück zum Zitat Bezati, E., et al.: Clock gating of streaming applications for energy efficient implementations on FPGA. IEEE Trans. VLSI Syst. 36(4), 699–703 (2017) Bezati, E., et al.: Clock gating of streaming applications for energy efficient implementations on FPGA. IEEE Trans. VLSI Syst. 36(4), 699–703 (2017)
20.
Zurück zum Zitat Alam, M.-R.: Power efficient high level synthesis by centralized and fine grained clock gating. IEEE Trans. Comput. Aided Des. Integr. Circ. Syst. 34(12), 1954–1963 (2015) Alam, M.-R.: Power efficient high level synthesis by centralized and fine grained clock gating. IEEE Trans. Comput. Aided Des. Integr. Circ. Syst. 34(12), 1954–1963 (2015)
21.
Zurück zum Zitat Cakmakci, Y.: Cyclic power-gating as an alternative to voltage and frequency scaling. IEEE Trans. Comput. Archit. 12(2), 77–80 (2016) Cakmakci, Y.: Cyclic power-gating as an alternative to voltage and frequency scaling. IEEE Trans. Comput. Archit. 12(2), 77–80 (2016)
22.
Zurück zum Zitat Varkey, N.P., Arumugam, S.: Automatic video object extraction by using generalized visual and motion saliency. Int. J. Innov. Sci. Eng. Res. (IJISER) 1(4), 271–275 (2014) Varkey, N.P., Arumugam, S.: Automatic video object extraction by using generalized visual and motion saliency. Int. J. Innov. Sci. Eng. Res. (IJISER) 1(4), 271–275 (2014)
Metadaten
Titel
Clock node capacity minimization using lookup table based controller for ultrasound and medical imaging processor
verfasst von
T. Joby Titus
V. Vijayakumari
Publikationsdatum
09.02.2018
Verlag
Springer US
Erschienen in
Cluster Computing / Ausgabe Sonderheft 2/2019
Print ISSN: 1386-7857
Elektronische ISSN: 1573-7543
DOI
https://doi.org/10.1007/s10586-017-1642-5

Weitere Artikel der Sonderheft 2/2019

Cluster Computing 2/2019 Zur Ausgabe