Skip to main content

2014 | OriginalPaper | Buchkapitel

3. Communication Architecture

verfasst von : Konstantinos Tatas, Kostas Siozios, Dimitrios Soudris, Axel Jantsch

Erschienen in: Designing 2D and 3D Network-on-Chip Architectures

Verlag: Springer New York

Aktivieren Sie unsere intelligente Suche, um passende Fachinhalte oder Patente zu finden.

search-config
loading …

Abstract

The communication infrastructure is the backbone of the NoC system. After determining the NoC topology for the given application, designing the communication infrastructure is the next step. The routing algorithm is selected based on both the selected topology and design constraints. After the selection of routing algorithm and flow control scheme, the router and link design can begin. In this chapter switching techniques, routing algorithms and flow control schemes are discussed and compared, while the design of a generic 2D and 3D router is illustrated and improvements proposed in the literature are discussed.

Sie haben noch keine Lizenz? Dann Informieren Sie sich jetzt über unsere Produkte:

Springer Professional "Wirtschaft+Technik"

Online-Abonnement

Mit Springer Professional "Wirtschaft+Technik" erhalten Sie Zugriff auf:

  • über 102.000 Bücher
  • über 537 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Maschinenbau + Werkstoffe
  • Versicherung + Risiko

Jetzt Wissensvorsprung sichern!

Springer Professional "Technik"

Online-Abonnement

Mit Springer Professional "Technik" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 390 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Maschinenbau + Werkstoffe




 

Jetzt Wissensvorsprung sichern!

Literatur
1.
Zurück zum Zitat D. Wiklund, L. Dake, SoCBUS: switched network on chip for hard real time embedded systems. in Parallel and Distributed Processing, Symposium (2003), p. 8 D. Wiklund, L. Dake, SoCBUS: switched network on chip for hard real time embedded systems. in Parallel and Distributed Processing, Symposium (2003), p. 8
2.
Zurück zum Zitat P. Wolkotte, G. Smit, G. Rauwerda, L. Smit, An energy-efficient reconfigurable circuit-switched network-on-chip. in Parallel and Distributed Processing, Symposium (2005), p. 155a P. Wolkotte, G. Smit, G. Rauwerda, L. Smit, An energy-efficient reconfigurable circuit-switched network-on-chip. in Parallel and Distributed Processing, Symposium (2005), p. 155a
3.
Zurück zum Zitat D. Truong, W. Cheng, T. Mohsenin, Y. Zhiyi, A. Jacobson, G. Landge, M. Meeuwsen, C. Watnik, A. Tran, X. Zhibin Xiao, E. Work, J. Webb, P. Mejia, B. Baas, A 167-processor computational platform in 65 nm CMOS. IEEE J. Solid-State Circ. 44(4), 1130–1144 (2009)CrossRef D. Truong, W. Cheng, T. Mohsenin, Y. Zhiyi, A. Jacobson, G. Landge, M. Meeuwsen, C. Watnik, A. Tran, X. Zhibin Xiao, E. Work, J. Webb, P. Mejia, B. Baas, A 167-processor computational platform in 65 nm CMOS. IEEE J. Solid-State Circ. 44(4), 1130–1144 (2009)CrossRef
4.
Zurück zum Zitat P. Phi-Hung, P. Jongsun, M. Phuong, K. Chulwoo, Design and implementation of backtracking wave-pipeline switch to support guaranteed throughput in network-on-chip. IEEE Trans. Very Large Scale Integration (VLSI) Syst. 20(2), 270–283 (2012) P. Phi-Hung, P. Jongsun, M. Phuong, K. Chulwoo, Design and implementation of backtracking wave-pipeline switch to support guaranteed throughput in network-on-chip. IEEE Trans. Very Large Scale Integration (VLSI) Syst. 20(2), 270–283 (2012)
5.
Zurück zum Zitat S. Liu, A. Jantsch, Z. Lu, Parallel probing: dynamic and constant time setup procedure in circuit switching NoC. in Proceedings of Design, Automation and Test in Europe (DATE) (2012), pp. 1289–1294 S. Liu, A. Jantsch, Z. Lu, Parallel probing: dynamic and constant time setup procedure in circuit switching NoC. in Proceedings of Design, Automation and Test in Europe (DATE) (2012), pp. 1289–1294
6.
Zurück zum Zitat W.J. Dally, C.L. Seitz, The torus routing chip. J. Distrib. Comput. 1(3), 187–196 (1986)CrossRef W.J. Dally, C.L. Seitz, The torus routing chip. J. Distrib. Comput. 1(3), 187–196 (1986)CrossRef
7.
Zurück zum Zitat E. Bolotin, I. Cidon, R. Ginosar, A. Kolodny, QNoC: QoS architecture and design process for network on chip. J. Syst. Archit. 50(2–3), 105–128 (Feb. 2004)CrossRef E. Bolotin, I. Cidon, R. Ginosar, A. Kolodny, QNoC: QoS architecture and design process for network on chip. J. Syst. Archit. 50(2–3), 105–128 (Feb. 2004)CrossRef
8.
Zurück zum Zitat W. Dally, B. Towles, Route packets, not wires: on-chip interconnection networks. in Design Automation Conference (DAC) (2001), pp. 684–689 W. Dally, B. Towles, Route packets, not wires: on-chip interconnection networks. in Design Automation Conference (DAC) (2001), pp. 684–689
9.
Zurück zum Zitat P. Guerrier, A. Greiner, A generic architecture for on-chip packet-switched interconnections. in Design, Automation and Test in Europe Conference and Exhibition (DATE) (2000), pp. 250–256 P. Guerrier, A. Greiner, A generic architecture for on-chip packet-switched interconnections. in Design, Automation and Test in Europe Conference and Exhibition (DATE) (2000), pp. 250–256
10.
Zurück zum Zitat E. Rijpkema, K. Goosens, P. Wielage, A router architecture for networks on silicon. in Workshop on Embedded Systems (PROGRESS) (2001), pp. 1–8 E. Rijpkema, K. Goosens, P. Wielage, A router architecture for networks on silicon. in Workshop on Embedded Systems (PROGRESS) (2001), pp. 1–8
11.
Zurück zum Zitat W. Dally, Virtual-channel flow control. IEEE Trans. Parallel Distrib. Syst. 3(2), 194–205 (1992)CrossRef W. Dally, Virtual-channel flow control. IEEE Trans. Parallel Distrib. Syst. 3(2), 194–205 (1992)CrossRef
12.
Zurück zum Zitat K. Shin, S. Daniel, Analysis and implementation of hybrid switching. IEEE Trans. Comput. 45(6), 684–692 (1996)CrossRefMATH K. Shin, S. Daniel, Analysis and implementation of hybrid switching. IEEE Trans. Comput. 45(6), 684–692 (1996)CrossRefMATH
13.
Zurück zum Zitat M. Modarressi, H. Sarbazi-Azad, M. Arjomand, A hybrid packet-circuit switched on-chip network based on SDM. in Design, Automation and Test in Europe Conference and Exhibition (DATE ’09) (2009), pp. 566–569 M. Modarressi, H. Sarbazi-Azad, M. Arjomand, A hybrid packet-circuit switched on-chip network based on SDM. in Design, Automation and Test in Europe Conference and Exhibition (DATE ’09) (2009), pp. 566–569
14.
Zurück zum Zitat A. Lusala, J. Legat, A hybrid router combining SDM-based circuit swictching with packet switching for on-chip networks. in International Conference on Reconfigurable Computing and FPGAs (ReConFig) (2010), pp. 340–345 A. Lusala, J. Legat, A hybrid router combining SDM-based circuit swictching with packet switching for on-chip networks. in International Conference on Reconfigurable Computing and FPGAs (ReConFig) (2010), pp. 340–345
15.
Zurück zum Zitat J. Hu, R. Marculescu, DyAD - smart routing for networks-on-chip. in Design Automation Conference (DAC) (2004), pp. 260–263 J. Hu, R. Marculescu, DyAD - smart routing for networks-on-chip. in Design Automation Conference (DAC) (2004), pp. 260–263
16.
Zurück zum Zitat W.J. Dally, B. Towles, Principles and Practices of Interconnection Networks (Morgan Kaufmann, San Francisco, 2004) W.J. Dally, B. Towles, Principles and Practices of Interconnection Networks (Morgan Kaufmann, San Francisco, 2004)
17.
Zurück zum Zitat A. Lankes, T. Wild, A. Herkersdorf, S. Sonntag, H. Reinig, Comparison of deadlock recovery and avoidance mechanisms to approach message dependent deadlocks in on-chip networks. in International Symposium on Networks-on-Chip (NOCS) (2010), pp. 17–24 A. Lankes, T. Wild, A. Herkersdorf, S. Sonntag, H. Reinig, Comparison of deadlock recovery and avoidance mechanisms to approach message dependent deadlocks in on-chip networks. in International Symposium on Networks-on-Chip (NOCS) (2010), pp. 17–24
18.
Zurück zum Zitat K. Anjan, T. Pinkston, DISHA: a deadlock recovery scheme for fully adaptive routing. in Parallel Processing, Symposium (1995), pp. 537–543 K. Anjan, T. Pinkston, DISHA: a deadlock recovery scheme for fully adaptive routing. in Parallel Processing, Symposium (1995), pp. 537–543
19.
Zurück zum Zitat S. Lee, A deadlock detection mechanism for true fully adaptive routing in regular wormhole networks. Comput. Commun. 30(8), 1826–1840 (2007)CrossRef S. Lee, A deadlock detection mechanism for true fully adaptive routing in regular wormhole networks. Comput. Commun. 30(8), 1826–1840 (2007)CrossRef
20.
Zurück zum Zitat J. Kim, L. Ziqiang, A. Chien, Compressionless routing: a framework for adaptive and fault-tolerant routing. IEEE Trans. Parallel Distrib. Syst. 8(3), 229–244 (1997)CrossRef J. Kim, L. Ziqiang, A. Chien, Compressionless routing: a framework for adaptive and fault-tolerant routing. IEEE Trans. Parallel Distrib. Syst. 8(3), 229–244 (1997)CrossRef
21.
Zurück zum Zitat R. Al-Dujaily, T. Mak, X. Fei, A. Yakovlev, M. Palesi, Run-time deadlock detection in networks-on-chip using coupled transitive closure networks. in Design, Automation and Test in Europe Conference and Exhibition (DATE) (2011) pp. 1–6 R. Al-Dujaily, T. Mak, X. Fei, A. Yakovlev, M. Palesi, Run-time deadlock detection in networks-on-chip using coupled transitive closure networks. in Design, Automation and Test in Europe Conference and Exhibition (DATE) (2011) pp. 1–6
22.
Zurück zum Zitat C. Glass, L. Ni, The turn model for adaptive routing. in International Symposium on Computer, Architecture (1992), pp. 278–287 C. Glass, L. Ni, The turn model for adaptive routing. in International Symposium on Computer, Architecture (1992), pp. 278–287
23.
Zurück zum Zitat C. Ge-Ming, The edd-even turn model for adaptive routing. IEEE Trans. Parallel Distrib. Syst. 11(7), 729–738 (2000)CrossRef C. Ge-Ming, The edd-even turn model for adaptive routing. IEEE Trans. Parallel Distrib. Syst. 11(7), 729–738 (2000)CrossRef
24.
Zurück zum Zitat K. Goossens, J. Dielissen, A. Radulescu, AEthereal network on chip: concepts, architectures, and implementations. Des. Test Comput. 22(5), 414–421 (2005) K. Goossens, J. Dielissen, A. Radulescu, AEthereal network on chip: concepts, architectures, and implementations. Des. Test Comput. 22(5), 414–421 (2005)
25.
Zurück zum Zitat A. Mejia, J. Flich, J. Duato, S.-A. Reinemo, T. Skeie, Segment-based routing: an efficient fault-tolerant routing algorithm for meshes and tori. in Parallel and Distributed Processing, Symposium(2006), pp. 25–29 A. Mejia, J. Flich, J. Duato, S.-A. Reinemo, T. Skeie, Segment-based routing: an efficient fault-tolerant routing algorithm for meshes and tori. in Parallel and Distributed Processing, Symposium(2006), pp. 25–29
26.
Zurück zum Zitat L. Ni, P. McKinley, A survey of wormhole routing techniques in direct networks. IEEE Comput. 26(2), 62–75 (1993)CrossRef L. Ni, P. McKinley, A survey of wormhole routing techniques in direct networks. IEEE Comput. 26(2), 62–75 (1993)CrossRef
27.
Zurück zum Zitat D. Linder, J. Harden, An adaptive and fault tolerant wormhole routing strategy for k-Ary n-Cubes. IEEE Trans. Comput. 40(1), 2–12 (1991)MathSciNetCrossRef D. Linder, J. Harden, An adaptive and fault tolerant wormhole routing strategy for k-Ary n-Cubes. IEEE Trans. Comput. 40(1), 2–12 (1991)MathSciNetCrossRef
28.
Zurück zum Zitat Y. Xiaoqiang, D. Huimin, H. Jungang, Research on node coding and routing algorithm for network on chip. in International Colloquium on Computing, Communication, Control, and Management (CCCM) (2008), pp. 198–203 Y. Xiaoqiang, D. Huimin, H. Jungang, Research on node coding and routing algorithm for network on chip. in International Colloquium on Computing, Communication, Control, and Management (CCCM) (2008), pp. 198–203
29.
Zurück zum Zitat M. Mano, R. Kime, Logic and Computer Design Fundamentals, 4 edn. (Prentice Hall, 2007) M. Mano, R. Kime, Logic and Computer Design Fundamentals, 4 edn. (Prentice Hall, 2007)
30.
Zurück zum Zitat E. Bolotin, I. Cidon, R. Ginosar, A. Kolodny, Routing in irregular meshes. TR CCIT 554 Department of Electrical Engineering, Technion, Sept. 2005 E. Bolotin, I. Cidon, R. Ginosar, A. Kolodny, Routing in irregular meshes. TR CCIT 554 Department of Electrical Engineering, Technion, Sept. 2005
31.
Zurück zum Zitat O. Lysne, T. Skeie, S. Reinemo, I. Theiss, Layered routing in irregular networks. IEEE Trans. Parallel Distrib. Syst. 17(1), 51–65 (Jan. 2006)CrossRef O. Lysne, T. Skeie, S. Reinemo, I. Theiss, Layered routing in irregular networks. IEEE Trans. Parallel Distrib. Syst. 17(1), 51–65 (Jan. 2006)CrossRef
32.
Zurück zum Zitat J. Flich, M.P. Malumbres, P. Lopez, J. Duato, Performance evaluation of a new routing strategy for irregular networks. in International Conference on Supercomputing (ICS) (2000), pp. 34–43 J. Flich, M.P. Malumbres, P. Lopez, J. Duato, Performance evaluation of a new routing strategy for irregular networks. in International Conference on Supercomputing (ICS) (2000), pp. 34–43
33.
Zurück zum Zitat J. Flich, P. Lopez, M. Malumbres, J. Duato, Boosting the performance of myrinet networks. IEEE Trans. Parallel Distrib. Syst. 13(7), 1166–1182 (2002)CrossRef J. Flich, P. Lopez, M. Malumbres, J. Duato, Boosting the performance of myrinet networks. IEEE Trans. Parallel Distrib. Syst. 13(7), 1166–1182 (2002)CrossRef
34.
Zurück zum Zitat J. Sancho, A. Robles, J. Flich, P. Lopez, J. Duato, Effective methodology for deadlock-free minimal routing in infiniband networks. in International Conference on Parallel Processing, (2002), pp. 409–418 J. Sancho, A. Robles, J. Flich, P. Lopez, J. Duato, Effective methodology for deadlock-free minimal routing in infiniband networks. in International Conference on Parallel Processing, (2002), pp. 409–418
35.
Zurück zum Zitat K. Anjan, T. Pinkston, An efficient, fully adaptive deadlock recovery scheme: DISHA. in International Symposium on Computer, Architecture (1995), pp. 201–210 K. Anjan, T. Pinkston, An efficient, fully adaptive deadlock recovery scheme: DISHA. in International Symposium on Computer, Architecture (1995), pp. 201–210
36.
Zurück zum Zitat J. Duato, A necessary and sufficient condition for deadlock-free adaptive routing in Wormhole networks. IEEE Trans. Parallel Distrib. Syst. 6(10), 1055–1067 (1995)CrossRef J. Duato, A necessary and sufficient condition for deadlock-free adaptive routing in Wormhole networks. IEEE Trans. Parallel Distrib. Syst. 6(10), 1055–1067 (1995)CrossRef
37.
Zurück zum Zitat J. Duato, A necessary and sufficient condition for deadlock-free routing in cut-through and store-and-forward networks. IEEE Trans. Parallel Distrib. Syst. 7(8), 841–854 (1996)CrossRef J. Duato, A necessary and sufficient condition for deadlock-free routing in cut-through and store-and-forward networks. IEEE Trans. Parallel Distrib. Syst. 7(8), 841–854 (1996)CrossRef
38.
Zurück zum Zitat J. Duato, T. Pinkston, A general theory for deadlock-free adaptive routing using a mixed set of resources. IEEE Trans. Parallel Distrib. Syst. 12(12), 1219–1235 (2001)CrossRef J. Duato, T. Pinkston, A general theory for deadlock-free adaptive routing using a mixed set of resources. IEEE Trans. Parallel Distrib. Syst. 12(12), 1219–1235 (2001)CrossRef
39.
Zurück zum Zitat L. Ziqiang, A. Chien, Hierarchical adaptive routing: a framework for fully adaptive and deadlock-free wormhole routing. Symp. Parallel Distrib. Process. 688–695 (1994) L. Ziqiang, A. Chien, Hierarchical adaptive routing: a framework for fully adaptive and deadlock-free wormhole routing. Symp. Parallel Distrib. Process. 688–695 (1994)
40.
Zurück zum Zitat J.C. Sancho, A. Robles, Improving minimal adaptive routing in networks with irregular topology. in International Confenerence on Parallel and Distributed Computing Systems (PDCS) (2000), pp. 1–9 J.C. Sancho, A. Robles, Improving minimal adaptive routing in networks with irregular topology. in International Confenerence on Parallel and Distributed Computing Systems (PDCS) (2000), pp. 1–9
41.
Zurück zum Zitat F. Silla, J. Duato, On the use of virtual channels in networks of workstations with irregular topology. IEEE Trans. Parallel Distrib. Syst. 11(8), 813–828 (2000)CrossRef F. Silla, J. Duato, On the use of virtual channels in networks of workstations with irregular topology. IEEE Trans. Parallel Distrib. Syst. 11(8), 813–828 (2000)CrossRef
42.
Zurück zum Zitat F. Silla, J. Duato, High-performance routing in networks of workstations with irregular topology. IEEE Trans. Parallel Distrib. Syst. 11(7), 699–719 (2000)CrossRef F. Silla, J. Duato, High-performance routing in networks of workstations with irregular topology. IEEE Trans. Parallel Distrib. Syst. 11(7), 699–719 (2000)CrossRef
43.
Zurück zum Zitat A. Jantch, H. Tenhunen, Networks on Chip (Springer, Berlin, 2003) A. Jantch, H. Tenhunen, Networks on Chip (Springer, Berlin, 2003)
44.
Zurück zum Zitat T. Pinkston, R. Pang, J. Duato, Deadlock-free dynamic reconfiguration schemes for increased network dependability. IEEE Trans. Parallel Distrib. Syst. 14(8), 780–794 (2003)CrossRef T. Pinkston, R. Pang, J. Duato, Deadlock-free dynamic reconfiguration schemes for increased network dependability. IEEE Trans. Parallel Distrib. Syst. 14(8), 780–794 (2003)CrossRef
45.
Zurück zum Zitat S. Murali, G. De Micheli, Bandwidth-constrained mapping of cores onto NoC architectures. in Design, Automation and Test in Europe Conference and Exhibition (DATE) (2004), pp. 896–901 S. Murali, G. De Micheli, Bandwidth-constrained mapping of cores onto NoC architectures. in Design, Automation and Test in Europe Conference and Exhibition (DATE) (2004), pp. 896–901
46.
Zurück zum Zitat O.T. Skeie, I. Theiss, Layered shortest path (LASH) routing in irregular system area networks. Sympos. Parallel Distrib. Process. 162–169 (2002) O.T. Skeie, I. Theiss, Layered shortest path (LASH) routing in irregular system area networks. Sympos. Parallel Distrib. Process. 162–169 (2002)
47.
Zurück zum Zitat T. Skeie, O. Lysne, J. Flich, P. Lopez, A. Robles, J. Duato, LASH-TOR: a generic transition-oriented routing algorithm. in International Conference on Parallel and Distributed Systems (ICPADS) (2004), pp. 595–604 T. Skeie, O. Lysne, J. Flich, P. Lopez, A. Robles, J. Duato, LASH-TOR: a generic transition-oriented routing algorithm. in International Conference on Parallel and Distributed Systems (ICPADS) (2004), pp. 595–604
48.
Zurück zum Zitat M. Koibuchi, A. Jouraku, K. Watanabe, H. Amano, Descending layers routing: a deadlock-free deterministic routing using virtual channels in system area networks with irregular topologies. in International Conference on Parallel Processing (2003), pp. 527–536 M. Koibuchi, A. Jouraku, K. Watanabe, H. Amano, Descending layers routing: a deadlock-free deterministic routing using virtual channels in system area networks with irregular topologies. in International Conference on Parallel Processing (2003), pp. 527–536
49.
Zurück zum Zitat J. Flich, P. Lopez, J.C. Sancho, A. Robles, J. Duato, Improving infiniband routing through multiple virtual networks. in International Symposium on High Performance Computing (ISHPC) (2002), pp. 49–63 J. Flich, P. Lopez, J.C. Sancho, A. Robles, J. Duato, Improving infiniband routing through multiple virtual networks. in International Symposium on High Performance Computing (ISHPC) (2002), pp. 49–63
50.
Zurück zum Zitat J. Flich, T. Skeie, A. Mejia, O. Lysne, P. Lopez, A. Robles, J. Duato, M. Koibuchi, T. Rokicki, J. Sancho, A survey and evaluation of topology-agnostic deterministic routing algorithms. IEEE Trans. Parallel Distrib. Syst. 23(3), 405–425 (2012)CrossRef J. Flich, T. Skeie, A. Mejia, O. Lysne, P. Lopez, A. Robles, J. Duato, M. Koibuchi, T. Rokicki, J. Sancho, A survey and evaluation of topology-agnostic deterministic routing algorithms. IEEE Trans. Parallel Distrib. Syst. 23(3), 405–425 (2012)CrossRef
51.
Zurück zum Zitat M. Koibuchi, A. Funahashi, A. Jouraku, H. Amano, Lturn routing: an adaptive routing in irregular networks. Int. Conf. Parallel Process. (ICPP) 3, 374–383 (2001) M. Koibuchi, A. Funahashi, A. Jouraku, H. Amano, Lturn routing: an adaptive routing in irregular networks. Int. Conf. Parallel Process. (ICPP) 3, 374–383 (2001)
52.
Zurück zum Zitat J. Sancho, A. Robles, J. Duato, An effective methodology to improve the performance of the Up*/Down* routing algorithm. IEEE Trans. Parallel Distrib. Syst. 15(8), 740–754 (2004)CrossRef J. Sancho, A. Robles, J. Duato, An effective methodology to improve the performance of the Up*/Down* routing algorithm. IEEE Trans. Parallel Distrib. Syst. 15(8), 740–754 (2004)CrossRef
53.
Zurück zum Zitat M. Schroeder, A. Birrell, M. Burrows, H. Murray, R. Needham, T. Rodeheffer, E. Satterthwaite, C. Thacker, Autonet: a high-speed, self-configuring local area network using point-to-point links. IEEE J. Sel. Areas Commun. 9(8), 1318–1335 (1991)CrossRef M. Schroeder, A. Birrell, M. Burrows, H. Murray, R. Needham, T. Rodeheffer, E. Satterthwaite, C. Thacker, Autonet: a high-speed, self-configuring local area network using point-to-point links. IEEE J. Sel. Areas Commun. 9(8), 1318–1335 (1991)CrossRef
54.
Zurück zum Zitat N. Boden, D. Cohen, R. Felderman, A. Kulawik, C. Seitz, J. Seizovic, S. Wen-King, Myrinet: a gigabit-per-second local area network. IEEE Micro 15(1), 29–36 (1995)CrossRef N. Boden, D. Cohen, R. Felderman, A. Kulawik, C. Seitz, J. Seizovic, S. Wen-King, Myrinet: a gigabit-per-second local area network. IEEE Micro 15(1), 29–36 (1995)CrossRef
55.
Zurück zum Zitat C. Gomez, M. Gomez, P. Lopez, J. Duato, Reducing packet dropping in a bufferless noc. in International Euro-Par Conference (2008), pp. 899–909 C. Gomez, M. Gomez, P. Lopez, J. Duato, Reducing packet dropping in a bufferless noc. in International Euro-Par Conference (2008), pp. 899–909
56.
Zurück zum Zitat M. Hayenga, N. Jerger, M. Lipasti, SCARAB: a single cycle adaptive routing and bufferless network. in International Symposium on Microarchitecture (MICRO-42) (2009), pp. 244–254 M. Hayenga, N. Jerger, M. Lipasti, SCARAB: a single cycle adaptive routing and bufferless network. in International Symposium on Microarchitecture (MICRO-42) (2009), pp. 244–254
57.
Zurück zum Zitat P. Baran, On distributed communications networks. IEEE Trans. Commun. Syst. 12(1), 1–9 (1964)CrossRef P. Baran, On distributed communications networks. IEEE Trans. Commun. Syst. 12(1), 1–9 (1964)CrossRef
58.
Zurück zum Zitat T. Moscibroda, O. Mutlu, A case for bufferless routing in on-chip networks. in International Symposium on Computer, Architecture (2009), pp. 196–207 T. Moscibroda, O. Mutlu, A case for bufferless routing in on-chip networks. in International Symposium on Computer, Architecture (2009), pp. 196–207
59.
Zurück zum Zitat W. Dally, Scalable Switching Fabrics for Internet Routers (White paper for Avici Systems Inc., 2004) W. Dally, Scalable Switching Fabrics for Internet Routers (White paper for Avici Systems Inc., 2004)
60.
Zurück zum Zitat R. Widyono, The Design and Evaluation of Routing Algorithms for Real-Time Channels, TR-94-024, (University of California at Berkeley and Int’l Computer Science Institute, Berkeley, 1994) R. Widyono, The Design and Evaluation of Routing Algorithms for Real-Time Channels, TR-94-024, (University of California at Berkeley and Int’l Computer Science Institute, Berkeley, 1994)
61.
Zurück zum Zitat F. Feliciian, S. Furber, An asynchronous on-chip network router with quality-of-service (QoS) support. in International SOC Conference (2004), pp. 274–277 F. Feliciian, S. Furber, An asynchronous on-chip network router with quality-of-service (QoS) support. in International SOC Conference (2004), pp. 274–277
62.
Zurück zum Zitat D. Bertozzi, L. Benini, Xpipes: a network on chip architecture for gigascale systems-on-chip. IEEE Circ. Syst. Mag. 4(2), 18–31 (2004)CrossRef D. Bertozzi, L. Benini, Xpipes: a network on chip architecture for gigascale systems-on-chip. IEEE Circ. Syst. Mag. 4(2), 18–31 (2004)CrossRef
63.
Zurück zum Zitat J. Chan, S. Parameswaran, NoCGEN:a template based reuse methodology for networks on chip architecture. International Conference on VLSI Design (2004), pp. 717–720 J. Chan, S. Parameswaran, NoCGEN:a template based reuse methodology for networks on chip architecture. International Conference on VLSI Design (2004), pp. 717–720
64.
Zurück zum Zitat C. Zeferino, A. Susin, SoCIN: a parametric and scalable network-on-chip. in Symposium on Integrated Circuits and Systems Design (2003), pp. 169–174 C. Zeferino, A. Susin, SoCIN: a parametric and scalable network-on-chip. in Symposium on Integrated Circuits and Systems Design (2003), pp. 169–174
65.
Zurück zum Zitat R. Tamhankar, S. Murali, G. De Micheli, Performance driven reliable link design for networks on chips. Asia South Pacific Des. Autom. Conf. (ASP-DAC) 2, 749–754 (2005) R. Tamhankar, S. Murali, G. De Micheli, Performance driven reliable link design for networks on chips. Asia South Pacific Des. Autom. Conf. (ASP-DAC) 2, 749–754 (2005)
66.
Zurück zum Zitat C. Zeferino, F. Santo, A. Susin, ParIS: a parameterizable interconnect switch for networks-on-chip. in Symposium on Integrated Circuits and Systems Design (2004), pp. 204–209 C. Zeferino, F. Santo, A. Susin, ParIS: a parameterizable interconnect switch for networks-on-chip. in Symposium on Integrated Circuits and Systems Design (2004), pp. 204–209
67.
Zurück zum Zitat A. Pullini, F. Angiolini, D. Bertozzi, L. Benini, Fault tolerance overhead in network-on-chip flow control schemes. in 18th Symposium on Integrated Circuits and Systems Design (2005), pp. 224–229 A. Pullini, F. Angiolini, D. Bertozzi, L. Benini, Fault tolerance overhead in network-on-chip flow control schemes. in 18th Symposium on Integrated Circuits and Systems Design (2005), pp. 224–229
68.
Zurück zum Zitat M. Winter, G. Fettweis, Guaranteed service virtual channel allocation in NoCs for run-time task scheduling. in Design, Automation and Test in Europe Conference and Exhibition (DATE) (2011), pp. 1–6 M. Winter, G. Fettweis, Guaranteed service virtual channel allocation in NoCs for run-time task scheduling. in Design, Automation and Test in Europe Conference and Exhibition (DATE) (2011), pp. 1–6
69.
Zurück zum Zitat M. Millberg, E. Nilsson, R. Thid, A. Jantsch, Guaranteed bandwidth using looped containers in temporally disjoint networks within the nostrum network on chip. in Design, Automation and Test in Europe Conference and Exhibition (DATE) (2004), pp. 890–895 M. Millberg, E. Nilsson, R. Thid, A. Jantsch, Guaranteed bandwidth using looped containers in temporally disjoint networks within the nostrum network on chip. in Design, Automation and Test in Europe Conference and Exhibition (DATE) (2004), pp. 890–895
70.
Zurück zum Zitat M. Keating, P. Bricaud, Reuse Methodology Manual for System-On-A-Chip Designs, 3rd edn. (Springer, Berlin, 2007) M. Keating, P. Bricaud, Reuse Methodology Manual for System-On-A-Chip Designs, 3rd edn. (Springer, Berlin, 2007)
71.
Zurück zum Zitat J. Hu, R. Marculescu, Application-specific buffer space allocation for networks-on-chip router design. in International Conference on Computer Aided Design (ICCAD) (2004), pp. 354–361 J. Hu, R. Marculescu, Application-specific buffer space allocation for networks-on-chip router design. in International Conference on Computer Aided Design (ICCAD) (2004), pp. 354–361
72.
Zurück zum Zitat C. Xuning Chen, P. Li-Shiuan, Leakage power modeling and optimization in interconnection networks. in International Symposium on Low Power Electronics and Design (ISLPED) (2003), pp. 90–95 C. Xuning Chen, P. Li-Shiuan, Leakage power modeling and optimization in interconnection networks. in International Symposium on Low Power Electronics and Design (ISLPED) (2003), pp. 90–95
73.
Zurück zum Zitat T. Ye, L. Benini, G. De Micheli, Analysis of power consumption on switch fabrics in network routers. in Design Automation Conference (DATE) (2002), pp. 524–529 T. Ye, L. Benini, G. De Micheli, Analysis of power consumption on switch fabrics in network routers. in Design Automation Conference (DATE) (2002), pp. 524–529
74.
Zurück zum Zitat C. Nicopoulos, P. Dongkook, K. Jongman, N. Vijaykrishnan, M. Yousif, C. Das, ViChaR: a dynamic virtual channel regulator for network-on-chip routers. in International Symposium on Microarchitecture (MICRO-39) (2006), pp. 333–346 C. Nicopoulos, P. Dongkook, K. Jongman, N. Vijaykrishnan, M. Yousif, C. Das, ViChaR: a dynamic virtual channel regulator for network-on-chip routers. in International Symposium on Microarchitecture (MICRO-39) (2006), pp. 333–346
75.
Zurück zum Zitat L. Benini, G. De Micheli, Networks on Chips: A Circuit and Systems Perspective (Morgan Kaufmann, San Francisco, 2006) L. Benini, G. De Micheli, Networks on Chips: A Circuit and Systems Perspective (Morgan Kaufmann, San Francisco, 2006)
76.
Zurück zum Zitat F. Jafari, L. Zhonghai, A. Jantsch, M. Yaghmaee, Optimal regulation of traffic flows in networks-on-chip. Design, Automation and Test in Europe Conference and Exhibition (DATE)(2010), pp. 1621–1624 F. Jafari, L. Zhonghai, A. Jantsch, M. Yaghmaee, Optimal regulation of traffic flows in networks-on-chip. Design, Automation and Test in Europe Conference and Exhibition (DATE)(2010), pp. 1621–1624
77.
Zurück zum Zitat F. Jafari, L. Zhonghai, A. Jantsch, M. Yaghmaee, Buffer optimization in network-on-chip through flow regulation. IEEE Trans. Comput. Aided Des. Integr. Circ. Syst. 29(12), 1973–1986 (2010)CrossRef F. Jafari, L. Zhonghai, A. Jantsch, M. Yaghmaee, Buffer optimization in network-on-chip through flow regulation. IEEE Trans. Comput. Aided Des. Integr. Circ. Syst. 29(12), 1973–1986 (2010)CrossRef
78.
Zurück zum Zitat J. Park, B. O’Krafka, S. Vassiliadis, J. Delgado-Frias, Design and evaluation of a DAMQ multiprocessor network with self-compacting buffers. in Proceedings of Supercomputing (1994), pp. 713–722 J. Park, B. O’Krafka, S. Vassiliadis, J. Delgado-Frias, Design and evaluation of a DAMQ multiprocessor network with self-compacting buffers. in Proceedings of Supercomputing (1994), pp. 713–722
79.
Zurück zum Zitat R. Ramanujam, V. Soteriou, B. Lin, P. Li-Shiuan, Design of a high-throughput distributed shared-buffer NoC router. in International Symposium on Networks-on-Chip (NOCS) (2010), pp. 69–78 R. Ramanujam, V. Soteriou, B. Lin, P. Li-Shiuan, Design of a high-throughput distributed shared-buffer NoC router. in International Symposium on Networks-on-Chip (NOCS) (2010), pp. 69–78
80.
Zurück zum Zitat L. Wang, J. Zhang, X. Yang, D. Wen, Router with centralized buffer for network-on-chip. in Great Lakes Symposium on VLSI (GLSVLSI) (2009), pp. 469–474 L. Wang, J. Zhang, X. Yang, D. Wen, Router with centralized buffer for network-on-chip. in Great Lakes Symposium on VLSI (GLSVLSI) (2009), pp. 469–474
81.
Zurück zum Zitat A. Kodi, A. Louri, J. Wang, Design of energy-efficient channel buffers with router bypassing for network-on-chips (NoCs). in Quality of Electronic Design (ISQED) (2009), pp. 826–832 A. Kodi, A. Louri, J. Wang, Design of energy-efficient channel buffers with router bypassing for network-on-chips (NoCs). in Quality of Electronic Design (ISQED) (2009), pp. 826–832
82.
Zurück zum Zitat C. Fallin, G. Nazario, Y. Xiangyao, K. Chang, R. Ausavarungnirun, O. Mutlu, MinBD: minimally-buffered deflection routing for energy-efficient interconnect. in International Symposium on Networks on Chip (NoCS) (2012), pp. 1–10 C. Fallin, G. Nazario, Y. Xiangyao, K. Chang, R. Ausavarungnirun, O. Mutlu, MinBD: minimally-buffered deflection routing for energy-efficient interconnect. in International Symposium on Networks on Chip (NoCS) (2012), pp. 1–10
83.
Zurück zum Zitat P. Li-Shiuan, W. Dally, A delay model for router microarchitectures. IEEE Micro 21(1), 26–34 (2001) P. Li-Shiuan, W. Dally, A delay model for router microarchitectures. IEEE Micro 21(1), 26–34 (2001)
84.
Zurück zum Zitat I. Saastamoinen, M. Alho, J. Nurmi, Buffer implementation for Proteo network-on-chip. Int. Symp. Circ. Syst. (ISCAS) 2, 113–116 (2003) I. Saastamoinen, M. Alho, J. Nurmi, Buffer implementation for Proteo network-on-chip. Int. Symp. Circ. Syst. (ISCAS) 2, 113–116 (2003)
85.
Zurück zum Zitat V. Chandra, A. Xu, H. Schmit, L. Pileggi, An interconnect channel design methodology for high performance integrated circuits. in Design, Automation and Test in Europe Conference and Exhibition (DATE) (2004), pp. 1138–1143 V. Chandra, A. Xu, H. Schmit, L. Pileggi, An interconnect channel design methodology for high performance integrated circuits. in Design, Automation and Test in Europe Conference and Exhibition (DATE) (2004), pp. 1138–1143
86.
Zurück zum Zitat J. Hu, U. Orgas, R. Marculescu, System-level buffer allocation for application-specific networks-on-chip router design. IEEE Trans. Comput. Aided Des. Integr. Circ. Syst. Vl. 25(12), 2919–2933 (2006)CrossRef J. Hu, U. Orgas, R. Marculescu, System-level buffer allocation for application-specific networks-on-chip router design. IEEE Trans. Comput. Aided Des. Integr. Circ. Syst. Vl. 25(12), 2919–2933 (2006)CrossRef
87.
Zurück zum Zitat E. Bolotin, I. Cidon, R. Ginosar, A. Kolodny, Cost considerations in network on chip. Integr. VLSI J. 38(1), 19–42 (2004)CrossRef E. Bolotin, I. Cidon, R. Ginosar, A. Kolodny, Cost considerations in network on chip. Integr. VLSI J. 38(1), 19–42 (2004)CrossRef
88.
Zurück zum Zitat Y. Tamir, G. Frazier, High-performance multiqueue buffers for VLSI communication switches. in International Symposium on Computer Architecture (1988), pp. 343–354 Y. Tamir, G. Frazier, High-performance multiqueue buffers for VLSI communication switches. in International Symposium on Computer Architecture (1988), pp. 343–354
89.
Zurück zum Zitat G. Passas, M. Katevenis, D. Pnevmatikatos, A 128x128x24Gb/s crossbar interconnecting 128 tiles in a single hop and occupying 6% of their area. in International Symposium on Networks-on-Chip (NOCS) (2010), pp. 87–95 G. Passas, M. Katevenis, D. Pnevmatikatos, A 128x128x24Gb/s crossbar interconnecting 128 tiles in a single hop and occupying 6% of their area. in International Symposium on Networks-on-Chip (NOCS) (2010), pp. 87–95
90.
Zurück zum Zitat K. Jongman, C. Nicopoulos, P. Dongkook, V. Narayanan, M. Yousif, C. Das, A gracefully degrading and energy-efficient modular router architecture for on-chip networks. in International Symposium on Computer Architecture (ISCA) (2006), pp. 4–15 K. Jongman, C. Nicopoulos, P. Dongkook, V. Narayanan, M. Yousif, C. Das, A gracefully degrading and energy-efficient modular router architecture for on-chip networks. in International Symposium on Computer Architecture (ISCA) (2006), pp. 4–15
91.
Zurück zum Zitat H. Shih-Hsun, L. Yu-Xuan Lin, J. Jer-Min, Design of a Dual-Mode NoC router integrated with network interface for AMBA-based IPs. in Asian Solid-State Circuits Conference (ASSCC) (2006), pp. 211–214 H. Shih-Hsun, L. Yu-Xuan Lin, J. Jer-Min, Design of a Dual-Mode NoC router integrated with network interface for AMBA-based IPs. in Asian Solid-State Circuits Conference (ASSCC) (2006), pp. 211–214
92.
Zurück zum Zitat L. Kangmin, L. Se-Joong Lee, K. Sung-Eun, C. Hye-Mi, K. Donghyun, K. Sunyoung, L. Min-Wuk, Y. Hoi-Jun, A 51 mW 1.6 GHz on-chip network for low-power heterogeneous SoC platform. in International Solid-State Circuits Conference (ISSCC) (2004), pp. 512–518 L. Kangmin, L. Se-Joong Lee, K. Sung-Eun, C. Hye-Mi, K. Donghyun, K. Sunyoung, L. Min-Wuk, Y. Hoi-Jun, A 51 mW 1.6 GHz on-chip network for low-power heterogeneous SoC platform. in International Solid-State Circuits Conference (ISSCC) (2004), pp. 512–518
93.
Zurück zum Zitat K. Lee, S.-J. Lee, H.-J. Yoo, A high-speed and lightweight on-chip crossbar switch scheduler for on-chip interconnection networks. in European Solid-State Circuits Conference (ESSCIRC) (2003), pp. 453–456 K. Lee, S.-J. Lee, H.-J. Yoo, A high-speed and lightweight on-chip crossbar switch scheduler for on-chip interconnection networks. in European Solid-State Circuits Conference (ESSCIRC) (2003), pp. 453–456
94.
Zurück zum Zitat L. Kangmin, L. Se-Joong Lee, Y. Hoi-Jun Yoo, A distributed crossbar switch scheduler for on-chip networks. in Custom Integrated Circuits Conference (2003), pp. 671–674 L. Kangmin, L. Se-Joong Lee, Y. Hoi-Jun Yoo, A distributed crossbar switch scheduler for on-chip networks. in Custom Integrated Circuits Conference (2003), pp. 671–674
95.
Zurück zum Zitat Y. Tamir, H.C. Chi, Symmetric crossbar arbiters for VLSI communication switches. IEEE Trans. Parallel Distrib. Syst. 4(1), 13–27 (1993)CrossRef Y. Tamir, H.C. Chi, Symmetric crossbar arbiters for VLSI communication switches. IEEE Trans. Parallel Distrib. Syst. 4(1), 13–27 (1993)CrossRef
96.
Zurück zum Zitat J. Delgado-Frias, G. Ratanpal, A VLSI crossbar switch with wrapped wave front arbitration. IEEE Trans. Circ. Syst. I Fundam. Theor. Appl. 50(1), 135–141 (2003)CrossRef J. Delgado-Frias, G. Ratanpal, A VLSI crossbar switch with wrapped wave front arbitration. IEEE Trans. Circ. Syst. I Fundam. Theor. Appl. 50(1), 135–141 (2003)CrossRef
97.
Zurück zum Zitat R. Maroofi, V. Nitnaware, S. Limaye, Area-efficient design of scheduler for routing node of network-on-chip. Int. J. VLSI Des. Commun. Syst. (VLSICS) 2(3), 111–118 (2011) R. Maroofi, V. Nitnaware, S. Limaye, Area-efficient design of scheduler for routing node of network-on-chip. Int. J. VLSI Des. Commun. Syst. (VLSICS) 2(3), 111–118 (2011)
98.
Zurück zum Zitat D. Becker, W. Dally, Allocator implementations for network-on-chip routers. in Conference on High Performance Computing, Networking, Storage and Analysis, Article No. 52 (2009) D. Becker, W. Dally, Allocator implementations for network-on-chip routers. in Conference on High Performance Computing, Networking, Storage and Analysis, Article No. 52 (2009)
99.
Zurück zum Zitat C. Fallin, C. Craik, O. Mutlu, CHIPPER: a low-complexity bufferless deflection router. in High Performance Computer Architecture (HPCA) (2011), pp. 144–155 C. Fallin, C. Craik, O. Mutlu, CHIPPER: a low-complexity bufferless deflection router. in High Performance Computer Architecture (HPCA) (2011), pp. 144–155
100.
Zurück zum Zitat L. Carloni, P. Pande, Y. Xie, Networks-on-chip in emerging interconnect paradigms: advantages and challenges. in International Symposium on Networks-on-Chip (NoCS) (2009), pp. 93–102 L. Carloni, P. Pande, Y. Xie, Networks-on-chip in emerging interconnect paradigms: advantages and challenges. in International Symposium on Networks-on-Chip (NoCS) (2009), pp. 93–102
101.
Zurück zum Zitat V. Pavlidis, E. Friedman, Three-Dimensional Integrated Circuit Design (Morgan Kaufmann, Elsevier, 2008) V. Pavlidis, E. Friedman, Three-Dimensional Integrated Circuit Design (Morgan Kaufmann, Elsevier, 2008)
102.
Zurück zum Zitat L. Feihui, C. Nicopoulos, T. Richardson, X. Yuan, V. Narayanan, M. Kandemir, Design and management of 3D chip multiprocessors using network-in-memory. in International Symposium on Computer Architecture (ISCA) (2006), pp. 130–141 L. Feihui, C. Nicopoulos, T. Richardson, X. Yuan, V. Narayanan, M. Kandemir, Design and management of 3D chip multiprocessors using network-in-memory. in International Symposium on Computer Architecture (ISCA) (2006), pp. 130–141
103.
Zurück zum Zitat A. Rahmani, P. Liljeberg, J. Plosila, H. Tenhunen, An efficient hybridization scheme for stacked mesh 3D NoC architecture. in International Conference on Parallel, Distributed and Network-Based Processing (PDP) (2012), pp. 507–514 A. Rahmani, P. Liljeberg, J. Plosila, H. Tenhunen, An efficient hybridization scheme for stacked mesh 3D NoC architecture. in International Conference on Parallel, Distributed and Network-Based Processing (PDP) (2012), pp. 507–514
104.
Zurück zum Zitat J. Kim, C. Nicopoulos, D. Park, R. Das, Y. Xie, V. Narayanan, M. Yousif, C. Das, A novel dimensionally-decomposed router for on-chip communication in 3D architectures. in International Symposium on Computer Architecture (ISCA) (2007), pp. 138–149 J. Kim, C. Nicopoulos, D. Park, R. Das, Y. Xie, V. Narayanan, M. Yousif, C. Das, A novel dimensionally-decomposed router for on-chip communication in 3D architectures. in International Symposium on Computer Architecture (ISCA) (2007), pp. 138–149
105.
Zurück zum Zitat W. Lafi, D. Lattard, A. Jerraya, An efficient hierarchical router for large 3D NoCs. in International Symposium on Rapid System Prototyping (RSP) (2010), pp. 1–5 W. Lafi, D. Lattard, A. Jerraya, An efficient hierarchical router for large 3D NoCs. in International Symposium on Rapid System Prototyping (RSP) (2010), pp. 1–5
106.
Zurück zum Zitat K. Nomura, K. Abe, S. Fujita, A. DeHon, Novel design of three-dimensional crossbar for future network on chip based on post-silicon devices. in International Conference on Nano-Networks and Workshops (NanoNet) (2006), pp. 1–5 K. Nomura, K. Abe, S. Fujita, A. DeHon, Novel design of three-dimensional crossbar for future network on chip based on post-silicon devices. in International Conference on Nano-Networks and Workshops (NanoNet) (2006), pp. 1–5
107.
Zurück zum Zitat P. Dongkook, S. Eachempati, R. Das, A. Mishra, X. Yuan, N. Vijaykrishnan, C. Das, MIRA: a multi-layered on-chip interconnect router architecture. in Internatioanl Symposium on Computer Architecture (ISCA) (2008), pp. 251–261 P. Dongkook, S. Eachempati, R. Das, A. Mishra, X. Yuan, N. Vijaykrishnan, C. Das, MIRA: a multi-layered on-chip interconnect router architecture. in Internatioanl Symposium on Computer Architecture (ISCA) (2008), pp. 251–261
108.
Zurück zum Zitat K. Puttaswamy, G. Loh, Thermal herding: microarchitecture techniques for controlling hotspots in high-performance 3D-integrated processors. in International Symposium on High Performance Computer Architecture (HPCA) (2007), pp. 193–204 K. Puttaswamy, G. Loh, Thermal herding: microarchitecture techniques for controlling hotspots in high-performance 3D-integrated processors. in International Symposium on High Performance Computer Architecture (HPCA) (2007), pp. 193–204
109.
Zurück zum Zitat R. Dobkin, I. Cidon, R. Ginosar, A. Kolodny, A. Morgenshtein, Fast asynchronous bit-serial interconnects for network-on-chip. CCIT TR529 EE Department, Technion (2005) R. Dobkin, I. Cidon, R. Ginosar, A. Kolodny, A. Morgenshtein, Fast asynchronous bit-serial interconnects for network-on-chip. CCIT TR529 EE Department, Technion (2005)
110.
Zurück zum Zitat A. Rahmani, P. Liljeberg, J. Plosila, H. Tenhunen, BBVC-3D-NoC: an efficient 3D NoC architecture using bidirectional bisynchronous vertical channels. in IEEE Computer Annual Symposium on VLSI (ISVLSI) (2010), pp. 452–453 A. Rahmani, P. Liljeberg, J. Plosila, H. Tenhunen, BBVC-3D-NoC: an efficient 3D NoC architecture using bidirectional bisynchronous vertical channels. in IEEE Computer Annual Symposium on VLSI (ISVLSI) (2010), pp. 452–453
111.
Zurück zum Zitat C. Feng, Z. Lu, A. Jantch, M. Zhang, A 1-cycle 1.25 GHz bufferless router for 3D network-on-chip. IEICE Trans. Inform. Syst. E95D(5), 1519–1522 (2012)CrossRef C. Feng, Z. Lu, A. Jantch, M. Zhang, A 1-cycle 1.25 GHz bufferless router for 3D network-on-chip. IEICE Trans. Inform. Syst. E95D(5), 1519–1522 (2012)CrossRef
112.
Zurück zum Zitat Y. Yoon, N. Concer, M. Petracca, L. Carloni, Virtual channels Versus multiple physical networks: a comparative analysis. in Design Automation Conference (DAC) (2010), pp. 162–165 Y. Yoon, N. Concer, M. Petracca, L. Carloni, Virtual channels Versus multiple physical networks: a comparative analysis. in Design Automation Conference (DAC) (2010), pp. 162–165
113.
Zurück zum Zitat N. Weste, D. Harris, Principles of CMOS VLSI Design, 4th edn. (Addison Wesley, 2010) N. Weste, D. Harris, Principles of CMOS VLSI Design, 4th edn. (Addison Wesley, 2010)
114.
Zurück zum Zitat W. Elmore, The transient response of damped linear networks with particular regard to wideband amplifiers. J. Appl. Phys. 19(1), 55–63 (1948)CrossRef W. Elmore, The transient response of damped linear networks with particular regard to wideband amplifiers. J. Appl. Phys. 19(1), 55–63 (1948)CrossRef
115.
Zurück zum Zitat A. Kodi, A. Sarathy, A. Louri, W. Janet, Adaptive inter-router links for low-power, area-efficient and reliable Network-on-Chip (NoC) architectures. in Asia and South Pacific Design Automation Conference (ASP-DAC) (2009), pp. 1–6 A. Kodi, A. Sarathy, A. Louri, W. Janet, Adaptive inter-router links for low-power, area-efficient and reliable Network-on-Chip (NoC) architectures. in Asia and South Pacific Design Automation Conference (ASP-DAC) (2009), pp. 1–6
116.
Zurück zum Zitat M. Mizuno, W. Dally, H. Onishi, Elastic interconnects: repeater-inserted long wiring capable of compressing and decompressing data. in International Conference on Solid-State Circuits (ISSCC) (2001), pp. 346–347 M. Mizuno, W. Dally, H. Onishi, Elastic interconnects: repeater-inserted long wiring capable of compressing and decompressing data. in International Conference on Solid-State Circuits (ISSCC) (2001), pp. 346–347
117.
Zurück zum Zitat A. Shacham, K. Bergman, L. Carloni, Photonic networks-on-chip for future generations of chip multiprocessors. IEEE Trans. Comput. 57(9), 1246–1260 (2008) A. Shacham, K. Bergman, L. Carloni, Photonic networks-on-chip for future generations of chip multiprocessors. IEEE Trans. Comput. 57(9), 1246–1260 (2008)
118.
Zurück zum Zitat M. Chang, J. Cong, A. Kaplan, M. Naik, G. Reinman, E. Socher, S. Tam, CMP network-on-chip overlaid with multi-band RF-interconnect. in International Symposium on High Performance Computer Architecture (HPCA) (2008), pp. 191–202 M. Chang, J. Cong, A. Kaplan, M. Naik, G. Reinman, E. Socher, S. Tam, CMP network-on-chip overlaid with multi-band RF-interconnect. in International Symposium on High Performance Computer Architecture (HPCA) (2008), pp. 191–202
119.
Zurück zum Zitat U. Ogras, R. Marculescu, It’s a small world after all: NoC performance optimization via long-range link insertion. IEEE Trans. Very Large Scale Integr. (VLSI) Syst. 14(7), 693–706 (2006) U. Ogras, R. Marculescu, It’s a small world after all: NoC performance optimization via long-range link insertion. IEEE Trans. Very Large Scale Integr. (VLSI) Syst. 14(7), 693–706 (2006)
120.
Zurück zum Zitat E. Nigussie, T. Lehtonen, S. Tuuna, J. Plosila, J. Isoaho, High-Performance long NoC link using delay-insensitive current-mode signaling. VLSI Des. 2007, Article ID 46514 (2007) E. Nigussie, T. Lehtonen, S. Tuuna, J. Plosila, J. Isoaho, High-Performance long NoC link using delay-insensitive current-mode signaling. VLSI Des. 2007, Article ID 46514 (2007)
121.
Zurück zum Zitat S. Deb, K. Chang, A. Ganguly, Y. Xinmin, C. Teuscher, P. Pande, H. Deukhyoun, B. Belzer, Design of an efficient NoC architecture using millimeter-wave wireless links. in International Symposium on Quality Electronic Design (ISQED) (2012), pp. 165–172 S. Deb, K. Chang, A. Ganguly, Y. Xinmin, C. Teuscher, P. Pande, H. Deukhyoun, B. Belzer, Design of an efficient NoC architecture using millimeter-wave wireless links. in International Symposium on Quality Electronic Design (ISQED) (2012), pp. 165–172
Metadaten
Titel
Communication Architecture
verfasst von
Konstantinos Tatas
Kostas Siozios
Dimitrios Soudris
Axel Jantsch
Copyright-Jahr
2014
Verlag
Springer New York
DOI
https://doi.org/10.1007/978-1-4614-4274-5_3

Neuer Inhalt