Skip to main content
Erschienen in:
Buchtitelbild

2017 | OriginalPaper | Buchkapitel

Cost-Effective Computational Modeling of Fault Tolerant Optimization of FinFET-Based SRAM Cells

verfasst von : H. Girish, D. R. Shashikumar

Erschienen in: Cybernetics and Mathematics Applications in Intelligent Systems

Verlag: Springer International Publishing

Aktivieren Sie unsere intelligente Suche, um passende Fachinhalte oder Patente zu finden.

search-config
loading …

Abstract

In the area of computational memory management, energy efficiency and proper utilization of memory cell area is being constantly investigated. However, record of research manuscript in this regards are quite less compared to other related research topic in computer science. We reviewed existing techniques of upgrading the performance of FinFET-based SRAM and found that adoption of computational modeling for optimization is quite a few to find. Hence, we model the problem of leakage power minimization as linear optimization problem and develop a technique that ensures better fault tolerance operation of FinFET-based SRAM using enhanced particle swarm optimization. We minimize the computational complexity of the algorithm compared to conventional evolutionary technique and other performance upgrading system found in recent times. Our algorithm has better control over convergence rate, energy dissipation, and capability to ensure fault tolerance.

Sie haben noch keine Lizenz? Dann Informieren Sie sich jetzt über unsere Produkte:

Springer Professional "Wirtschaft+Technik"

Online-Abonnement

Mit Springer Professional "Wirtschaft+Technik" erhalten Sie Zugriff auf:

  • über 102.000 Bücher
  • über 537 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Maschinenbau + Werkstoffe
  • Versicherung + Risiko

Jetzt Wissensvorsprung sichern!

Springer Professional "Technik"

Online-Abonnement

Mit Springer Professional "Technik" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 390 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Maschinenbau + Werkstoffe




 

Jetzt Wissensvorsprung sichern!

Springer Professional "Wirtschaft"

Online-Abonnement

Mit Springer Professional "Wirtschaft" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 340 Zeitschriften

aus folgenden Fachgebieten:

  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Versicherung + Risiko




Jetzt Wissensvorsprung sichern!

Literatur
1.
Zurück zum Zitat Reis, R., Cao, Y., Wirth, G.: Circuit Design for Reliability. Springer, New York (2014) Reis, R., Cao, Y., Wirth, G.: Circuit Design for Reliability. Springer, New York (2014)
2.
Zurück zum Zitat Han, W., Wang, Z.M.: Toward Quantum FinFET. Springer Science & Business Media, Switzerland (2013)CrossRef Han, W., Wang, Z.M.: Toward Quantum FinFET. Springer Science & Business Media, Switzerland (2013)CrossRef
3.
Zurück zum Zitat Shin, C.: Variation-Aware Advanced CMOS Devices and SRAM. Springer, Dordrecht (2016)CrossRef Shin, C.: Variation-Aware Advanced CMOS Devices and SRAM. Springer, Dordrecht (2016)CrossRef
4.
Zurück zum Zitat Prince, B.: Vertical 3D Memory Technologies. Wiley (2014) Prince, B.: Vertical 3D Memory Technologies. Wiley (2014)
5.
Zurück zum Zitat Girish, H., Shashikumar, D.R.: Insights of performance enhancement techniques on FinFET-based SRAM cells. Commun. Appl. Electr. (CAE) 5(6), 20–26 (2016). Foundation of Computer ScienceCrossRef Girish, H., Shashikumar, D.R.: Insights of performance enhancement techniques on FinFET-based SRAM cells. Commun. Appl. Electr. (CAE) 5(6), 20–26 (2016). Foundation of Computer ScienceCrossRef
6.
Zurück zum Zitat Parimaladevia, M., Sharmilab, D., Kowsikaa, L.: A survey on the performance analysis of 6t sram cell using novel devices. South Asian J. Eng. Technol. 2(18), 71–77 (2016) Parimaladevia, M., Sharmilab, D., Kowsikaa, L.: A survey on the performance analysis of 6t sram cell using novel devices. South Asian J. Eng. Technol. 2(18), 71–77 (2016)
7.
Zurück zum Zitat Bhattacharya, D., Jha, N.K.: FinFETs: from devices to architectures. Adv. Electr. (2014). Hindawi Publishing Corporation Bhattacharya, D., Jha, N.K.: FinFETs: from devices to architectures. Adv. Electr. (2014). Hindawi Publishing Corporation
8.
Zurück zum Zitat Burnett, D., Parihar, S., Ramamurthy, H., Balasubramanian, S.: FinFET SRAM design challenges. In: IEEE International Conference on IC Design and Technologies, pp. 1–4 (2014) Burnett, D., Parihar, S., Ramamurthy, H., Balasubramanian, S.: FinFET SRAM design challenges. In: IEEE International Conference on IC Design and Technologies, pp. 1–4 (2014)
9.
Zurück zum Zitat Zhang, X., Connelly, D., Zheng, P., Takeuchi, H.: Analysis of 7/8-nm bulk-si FinFET technologies for 6T-SRAM scaling. IEEE Trans. Electron Dev. 63(4), 1502–1507 (2016)CrossRef Zhang, X., Connelly, D., Zheng, P., Takeuchi, H.: Analysis of 7/8-nm bulk-si FinFET technologies for 6T-SRAM scaling. IEEE Trans. Electron Dev. 63(4), 1502–1507 (2016)CrossRef
10.
Zurück zum Zitat Zhang, X.: Simulation-based study of super-steep retrograde doped bulk FinFET technology and 6T-SRAM yield. Doctorial Thesis on University of California at Berkeley (2016) Zhang, X.: Simulation-based study of super-steep retrograde doped bulk FinFET technology and 6T-SRAM yield. Doctorial Thesis on University of California at Berkeley (2016)
11.
Zurück zum Zitat Lee, J.H.: Bulk FinFETs: design at 14 nm node and key characteristics. In: Kyung, C.-M. (ed.) Nano Devices and Circuit Techniques for Low-Energy Applications and Energy Harvesting. KAIST Research Series, pp. 33–64. Springer, Dordrecht (2016) Lee, J.H.: Bulk FinFETs: design at 14 nm node and key characteristics. In: Kyung, C.-M. (ed.) Nano Devices and Circuit Techniques for Low-Energy Applications and Energy Harvesting. KAIST Research Series, pp. 33–64. Springer, Dordrecht (2016)
12.
Zurück zum Zitat Song, T., Rim, W., Park, S., Kim, Y.: A 10 nm FinFET 128 Mb SRAM with assist adjustment system for power, performance, and area optimization. In: IEEE International Solid-State Circuits Conference (2016) Song, T., Rim, W., Park, S., Kim, Y.: A 10 nm FinFET 128 Mb SRAM with assist adjustment system for power, performance, and area optimization. In: IEEE International Solid-State Circuits Conference (2016)
13.
Zurück zum Zitat Ansari, M., Kusha, H.A., Ebrahimi, B., Navabi, Z.: A near-threshold 7T SRAM cell with high write and read margins and low write time for sub-20 nm FinFET technologies. J. Integr. VLSI J. 50, 91–106 (2015). ElsevierCrossRef Ansari, M., Kusha, H.A., Ebrahimi, B., Navabi, Z.: A near-threshold 7T SRAM cell with high write and read margins and low write time for sub-20 nm FinFET technologies. J. Integr. VLSI J. 50, 91–106 (2015). ElsevierCrossRef
14.
Zurück zum Zitat Dani, L.M., Singh, G., Kaur, M.: FinFET based 6T SRAM cell for nanoscaled technologies. Int. J. Comput. Appl. 127(13), 3 (2015) Dani, L.M., Singh, G., Kaur, M.: FinFET based 6T SRAM cell for nanoscaled technologies. Int. J. Comput. Appl. 127(13), 3 (2015)
15.
Zurück zum Zitat Gupta, S.K., Roy, K.: Low power robust FinFET-based SRAM design in scaled technologies. In: Reis, R., Cao, Y., Wirth, G. (eds.) Circuit Design for Reliability, pp. 223–253. Springer, New York (2015) Gupta, S.K., Roy, K.: Low power robust FinFET-based SRAM design in scaled technologies. In: Reis, R., Cao, Y., Wirth, G. (eds.) Circuit Design for Reliability, pp. 223–253. Springer, New York (2015)
16.
Zurück zum Zitat Kushwah, R.S., Akashe, S.: FinFET-based 6T SRAM cell design: analysis of performance metric, process variation and temperature effect. InderScience Int. J. Sig. Imaging Syst. Eng. 8(6), 2500–2506 (2015) Kushwah, R.S., Akashe, S.: FinFET-based 6T SRAM cell design: analysis of performance metric, process variation and temperature effect. InderScience Int. J. Sig. Imaging Syst. Eng. 8(6), 2500–2506 (2015)
17.
Zurück zum Zitat Park, J., Yang, Y., Jeong, H., Song, S.C., Wang, J.: Design of a 22-nm FinFET-based SRAM with read buffer for near-threshold voltage operation. IEEE Trans. Electron Devices 62(6), 1698–1704 (2015)CrossRef Park, J., Yang, Y., Jeong, H., Song, S.C., Wang, J.: Design of a 22-nm FinFET-based SRAM with read buffer for near-threshold voltage operation. IEEE Trans. Electron Devices 62(6), 1698–1704 (2015)CrossRef
18.
Zurück zum Zitat Manju, I., Kumar, A.S.: A 22 nm FinFET based 6T-SRAM cell design with scaled supply voltage for increased read access time. Analog Integr. Circ. Sig. Process 84(1), 119–126 (2015). SpringerCrossRef Manju, I., Kumar, A.S.: A 22 nm FinFET based 6T-SRAM cell design with scaled supply voltage for increased read access time. Analog Integr. Circ. Sig. Process 84(1), 119–126 (2015). SpringerCrossRef
19.
Zurück zum Zitat Farkhani, H., Peiravi, A., Moradi, F.: A new write assist technique for SRAM design in 65 nm CMOS technology. Integr. VLSI J. 50, 16–27 (2015). ElsevierCrossRef Farkhani, H., Peiravi, A., Moradi, F.: A new write assist technique for SRAM design in 65 nm CMOS technology. Integr. VLSI J. 50, 16–27 (2015). ElsevierCrossRef
20.
Zurück zum Zitat Shafaei, A., Chen, S., Wang, Y., Pedram, M.: A cross-layer framework for designing and optimizing deeply-scaled FinFET-based cache memories. J. Low Power Electr. Appl. 5, 165–182 (2015)CrossRef Shafaei, A., Chen, S., Wang, Y., Pedram, M.: A cross-layer framework for designing and optimizing deeply-scaled FinFET-based cache memories. J. Low Power Electr. Appl. 5, 165–182 (2015)CrossRef
21.
Zurück zum Zitat Zeinali, B., Madsen, J.K., Raghavan, P., Moradi, F.: Sub-threshold SRAM design in 14 nm FinFET technology with improved access time and leakage power. In: IEEE Computer Society Annual Symposium on VLSI (2015) Zeinali, B., Madsen, J.K., Raghavan, P., Moradi, F.: Sub-threshold SRAM design in 14 nm FinFET technology with improved access time and leakage power. In: IEEE Computer Society Annual Symposium on VLSI (2015)
22.
Zurück zum Zitat Pal, P.K., Kaushik, B.K., Dasgupta, S.: Design metrics improvement for SRAMs using symmetric dual-k spacer (SymD-k) FinFETs. IEEE Trans. Electron Devices 61(4), 1123–1130 (2014)CrossRef Pal, P.K., Kaushik, B.K., Dasgupta, S.: Design metrics improvement for SRAMs using symmetric dual-k spacer (SymD-k) FinFETs. IEEE Trans. Electron Devices 61(4), 1123–1130 (2014)CrossRef
23.
Zurück zum Zitat Ghai, D., Mohanty, S.P., Thakral, G.: Comparative analysis of double gate FinFET configurations for analog circuit design. In: IEEE International Midwest Symposium on Circuits and Systems, pp. 809–812 (2013) Ghai, D., Mohanty, S.P., Thakral, G.: Comparative analysis of double gate FinFET configurations for analog circuit design. In: IEEE International Midwest Symposium on Circuits and Systems, pp. 809–812 (2013)
24.
Zurück zum Zitat Kerber, P., Kanj, R., Joshi, R.V.: Strained SOI FINFET SRAM design. IEEE Electron Device Lett. 34(7), 876–878 (2013)CrossRef Kerber, P., Kanj, R., Joshi, R.V.: Strained SOI FINFET SRAM design. IEEE Electron Device Lett. 34(7), 876–878 (2013)CrossRef
25.
Zurück zum Zitat Villacorta, H., Champac, V., Bota, S., Segura, J.: FinFET SRAM hardening through design and technology parameters considering process variations. In: IEEE European Conference on Radiation and Its Effects on Components and Systems, pp. 1–7 (2013) Villacorta, H., Champac, V., Bota, S., Segura, J.: FinFET SRAM hardening through design and technology parameters considering process variations. In: IEEE European Conference on Radiation and Its Effects on Components and Systems, pp. 1–7 (2013)
26.
Zurück zum Zitat Wang, W., Areibi, S., Anis, M.: Modeling leakage power reduction in VLSI as optimization problems. Optim. Eng. 8(2), 129–162 (2007). SpringerCrossRefMATH Wang, W., Areibi, S., Anis, M.: Modeling leakage power reduction in VLSI as optimization problems. Optim. Eng. 8(2), 129–162 (2007). SpringerCrossRefMATH
27.
Zurück zum Zitat Lu, B., Sapatnekar, S.S., Du, D.: Layout Optimization in VLSI Design, vol. 8. Springer, New York (2001) Lu, B., Sapatnekar, S.S., Du, D.: Layout Optimization in VLSI Design, vol. 8. Springer, New York (2001)
28.
Zurück zum Zitat Kashfi: Multi-objective optimization techniques for VLSI circuits (2011) Kashfi: Multi-objective optimization techniques for VLSI circuits (2011)
29.
Zurück zum Zitat Ebrahimi, B., Rostami, M., A-Kusha, A., Pedram, M.: Statistical design optimization of FinFET SRAM using back-gate voltage. IEEE Trans. Very Large Scale Integr. (VLSI) Syst. 19(10), 1911–1916 (2011)CrossRef Ebrahimi, B., Rostami, M., A-Kusha, A., Pedram, M.: Statistical design optimization of FinFET SRAM using back-gate voltage. IEEE Trans. Very Large Scale Integr. (VLSI) Syst. 19(10), 1911–1916 (2011)CrossRef
30.
Zurück zum Zitat Tang, A., Gao, X., Chen, L.-Y., Jha, N.K.: Delay/Power modeling and optimization of FinFET circuit modules under PVT variations: observing the trends between the 22 nm and 14 nm technology nodes. ACM J. Emerg. Technol. Comput. Syst. 12(4), 42 (2016). Article 42CrossRef Tang, A., Gao, X., Chen, L.-Y., Jha, N.K.: Delay/Power modeling and optimization of FinFET circuit modules under PVT variations: observing the trends between the 22 nm and 14 nm technology nodes. ACM J. Emerg. Technol. Comput. Syst. 12(4), 42 (2016). Article 42CrossRef
Metadaten
Titel
Cost-Effective Computational Modeling of Fault Tolerant Optimization of FinFET-Based SRAM Cells
verfasst von
H. Girish
D. R. Shashikumar
Copyright-Jahr
2017
DOI
https://doi.org/10.1007/978-3-319-57264-2_1