Skip to main content
Erschienen in: Cluster Computing 6/2019

15.02.2018

Design and development of high performance MOS current mode logic (MCML) processor for fast and power efficient computing

verfasst von: K. P. Sai Pradeep, S. Suresh Kumar

Erschienen in: Cluster Computing | Sonderheft 6/2019

Einloggen

Aktivieren Sie unsere intelligente Suche, um passende Fachinhalte oder Patente zu finden.

search-config
loading …

Abstract

Power dissipation and delay product have emerged to be crucial issue, which prove to decrease the performance of microprocessor. The MOS current mode logic (MCML) is an evolving logic family that is attracting interest owing to its high speed operation, reliable performance in comparison to the CMOS logic family. However, the MCML suffers from constant static power dissipation, which, if left unmanaged, would result in an inordinate energy requirement in large scale ICs. In order to resolve this challenge, the newly introduced system designed a modified MOS current mode logic (modified MCML) that has remarkable characteristics of lesser power dissipation, better switching frequency and greater processing speed. With the aim of achieving low power dissipation, the dynamic MOS current mode logic (dynamic MCML) is proposed. Dynamic MCML circuits integrate the benefits of MCML circuits with those that of the dynamic logic families for achieving great performance using a low-supply voltage along with low-power dissipation. The results obtained from simulation show that the newly introduced design model of dynamic MCML yields greater power conservation and higher processing speed in comparison with conventional CMOS technology, MCML and modified MCML with regard to power dissipation and power delay product.

Sie haben noch keine Lizenz? Dann Informieren Sie sich jetzt über unsere Produkte:

Springer Professional "Wirtschaft+Technik"

Online-Abonnement

Mit Springer Professional "Wirtschaft+Technik" erhalten Sie Zugriff auf:

  • über 102.000 Bücher
  • über 537 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Maschinenbau + Werkstoffe
  • Versicherung + Risiko

Jetzt Wissensvorsprung sichern!

Springer Professional "Technik"

Online-Abonnement

Mit Springer Professional "Technik" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 390 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Maschinenbau + Werkstoffe




 

Jetzt Wissensvorsprung sichern!

Springer Professional "Wirtschaft"

Online-Abonnement

Mit Springer Professional "Wirtschaft" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 340 Zeitschriften

aus folgenden Fachgebieten:

  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Versicherung + Risiko




Jetzt Wissensvorsprung sichern!

Literatur
1.
Zurück zum Zitat Jeon, H., Kim, Y.B., Choi, M.: Standby leakage power reduction technique for nanoscale CMOS VLSI systems. IEEE Trans. Instrum. Meas. 59(5), 1127–1133 (2010)CrossRef Jeon, H., Kim, Y.B., Choi, M.: Standby leakage power reduction technique for nanoscale CMOS VLSI systems. IEEE Trans. Instrum. Meas. 59(5), 1127–1133 (2010)CrossRef
2.
Zurück zum Zitat Abdulkarim, O. M., Shams, M.: A symmetric MOS current-mode logic universal gate for high speed applications. In: Proceedings of the 17th ACM Great Lakes symposium on VLSI, pp. 212–215. ACM (2007) Abdulkarim, O. M., Shams, M.: A symmetric MOS current-mode logic universal gate for high speed applications. In: Proceedings of the 17th ACM Great Lakes symposium on VLSI, pp. 212–215. ACM (2007)
3.
Zurück zum Zitat Salman, E., Friedman, E.: High Performance Integrated Circuit Design. McGraw Hill Professional, New York (2012) Salman, E., Friedman, E.: High Performance Integrated Circuit Design. McGraw Hill Professional, New York (2012)
4.
Zurück zum Zitat Seabaugh, A.C., Zhang, Q.: Low-voltage tunnel transistors for beyond CMOS logic. Proc. IEEE 98(12), 2095–2110 (2010)CrossRef Seabaugh, A.C., Zhang, Q.: Low-voltage tunnel transistors for beyond CMOS logic. Proc. IEEE 98(12), 2095–2110 (2010)CrossRef
5.
Zurück zum Zitat Savidis, I., Kose, S., Friedman, E.G.: Power noise in TSV-based 3-D integrated circuits. IEEE J. Solid-State Circuits 48(2), 587–597 (2013)CrossRef Savidis, I., Kose, S., Friedman, E.G.: Power noise in TSV-based 3-D integrated circuits. IEEE J. Solid-State Circuits 48(2), 587–597 (2013)CrossRef
6.
Zurück zum Zitat Gupta, K., Pandey, N., Gupta, M.: Analysis and design of MOS current mode logic exclusive-OR gate using triple-tail cells. Microelectron. J. 44(6), 561–567 (2013)CrossRef Gupta, K., Pandey, N., Gupta, M.: Analysis and design of MOS current mode logic exclusive-OR gate using triple-tail cells. Microelectron. J. 44(6), 561–567 (2013)CrossRef
7.
Zurück zum Zitat Hassan, H., Anis, M., Elmasry, M.: MOS current mode circuits: analysis, design, and variability. IEEE Trans. VLSI Syst. 13(8), 885–898 (2005)CrossRef Hassan, H., Anis, M., Elmasry, M.: MOS current mode circuits: analysis, design, and variability. IEEE Trans. VLSI Syst. 13(8), 885–898 (2005)CrossRef
8.
Zurück zum Zitat Cevrero, A., Regazzoni, F., Schwander, M., Badel, S., Ienne, P., Leblebici, Y.: Power-gated mos current mode logic (pg-mcml): a power aware dpa-resistant standard cell library. In: Design Automation Conference (DAC), 2011 48th ACM/EDAC/IEEE, pp. 1014–1019. IEEE (2011) Cevrero, A., Regazzoni, F., Schwander, M., Badel, S., Ienne, P., Leblebici, Y.: Power-gated mos current mode logic (pg-mcml): a power aware dpa-resistant standard cell library. In: Design Automation Conference (DAC), 2011 48th ACM/EDAC/IEEE, pp. 1014–1019. IEEE (2011)
9.
Zurück zum Zitat Nonis, R., Palumbo, E., Palestri, P., Selmi, L.: A design methodology for MOS current-mode logic frequency dividers. IEEE Trans. Circuits Syst. I 54(2), 245–254 (2007)CrossRef Nonis, R., Palumbo, E., Palestri, P., Selmi, L.: A design methodology for MOS current-mode logic frequency dividers. IEEE Trans. Circuits Syst. I 54(2), 245–254 (2007)CrossRef
10.
Zurück zum Zitat Wu, Y., Hu, J.: Low-voltage MOS current mode logic for low-power and high speed applications. Inf. Technol. J. 10(12), 2470–2475 (2011)MathSciNetCrossRef Wu, Y., Hu, J.: Low-voltage MOS current mode logic for low-power and high speed applications. Inf. Technol. J. 10(12), 2470–2475 (2011)MathSciNetCrossRef
11.
Zurück zum Zitat Nonis, R., Palumbo, E., Palestri, P., Selmi, L.: A design methodology for MOS current-mode logic frequency dividers. IEEE Trans. Circuits Syst. I 54(2), 245–254 (2007)CrossRef Nonis, R., Palumbo, E., Palestri, P., Selmi, L.: A design methodology for MOS current-mode logic frequency dividers. IEEE Trans. Circuits Syst. I 54(2), 245–254 (2007)CrossRef
12.
Zurück zum Zitat Cannillo, F., Toumazou, C., Lande, T.S.: Nanopower subthreshold MCML in submicrometer CMOS technology. IEEE Trans. Circuits Syst. I 56(8), 1598–1611 (2009)MathSciNetCrossRef Cannillo, F., Toumazou, C., Lande, T.S.: Nanopower subthreshold MCML in submicrometer CMOS technology. IEEE Trans. Circuits Syst. I 56(8), 1598–1611 (2009)MathSciNetCrossRef
13.
Zurück zum Zitat Alioto, M., Palumbo, G.: Feature–power-aware design techniques for nanometer MOS current-mode logic gates: a design framework. IEEE Circuits Syst. Mag. 6(4), 42–61 (2006)CrossRef Alioto, M., Palumbo, G.: Feature–power-aware design techniques for nanometer MOS current-mode logic gates: a design framework. IEEE Circuits Syst. Mag. 6(4), 42–61 (2006)CrossRef
14.
Zurück zum Zitat Hassoune, I., Macé, F., Flandre, D., Legat, J.D.: Low-swing current mode logic (LSCML): a new logic style for secure and robust smart cards against power analysis attacks. Microelectron. J. 37(9), 997–1006 (2006)CrossRef Hassoune, I., Macé, F., Flandre, D., Legat, J.D.: Low-swing current mode logic (LSCML): a new logic style for secure and robust smart cards against power analysis attacks. Microelectron. J. 37(9), 997–1006 (2006)CrossRef
15.
Zurück zum Zitat Hu, J., Ni, H., Xia, Y.: High-speed low-power MCML nanometer circuits with near-threshold computing. JCP 8(1), 129–135 (2013) Hu, J., Ni, H., Xia, Y.: High-speed low-power MCML nanometer circuits with near-threshold computing. JCP 8(1), 129–135 (2013)
16.
Zurück zum Zitat Saha, A., Pal, D., Chandra, M., Goswami, M.K.: Novel high speed MCML 8-bit by 8-bit multiplier. In: Devices and Communications (ICDeCom), 2011 International Conference on, pp. 1–5. IEEE (2011) Saha, A., Pal, D., Chandra, M., Goswami, M.K.: Novel high speed MCML 8-bit by 8-bit multiplier. In: Devices and Communications (ICDeCom), 2011 International Conference on, pp. 1–5. IEEE (2011)
17.
Zurück zum Zitat Regazzoni, F., Eisenbarth, T., Poschmann, A., Großschädl, J., Gurkaynak, F., Macchetti, M., Ienne, P. Evaluating resistance of MCML technology to power analysis attacks using a simulation-based methodology. In: Transactions on Computational Science IV, pp. 230–243 (2009)CrossRef Regazzoni, F., Eisenbarth, T., Poschmann, A., Großschädl, J., Gurkaynak, F., Macchetti, M., Ienne, P. Evaluating resistance of MCML technology to power analysis attacks using a simulation-based methodology. In: Transactions on Computational Science IV, pp. 230–243 (2009)CrossRef
18.
Zurück zum Zitat Badel, S., Leblebici, Y.: Breaking the power-delay tradeoff: design of low-power high-speed MOS current-mode logic circuits operating with reduced supply voltage. In: Circuits and Systems, 2007. IEEE International Symposium on ISCAS, pp. 1871–1874 (2007) Badel, S., Leblebici, Y.: Breaking the power-delay tradeoff: design of low-power high-speed MOS current-mode logic circuits operating with reduced supply voltage. In: Circuits and Systems, 2007. IEEE International Symposium on ISCAS, pp. 1871–1874 (2007)
19.
Zurück zum Zitat Kim, T., Jeong, Y., Yang, K.: Low-power high-speed performance of current-mode logic D flip-flop topology using negative-differential-resistance devices. IET Circuits Devices Syst. 2(2), 281–287 (2008)CrossRef Kim, T., Jeong, Y., Yang, K.: Low-power high-speed performance of current-mode logic D flip-flop topology using negative-differential-resistance devices. IET Circuits Devices Syst. 2(2), 281–287 (2008)CrossRef
20.
Zurück zum Zitat Cannillo, F., Toumazou, C., Lande, T.S.: Bulk-drain connected load for subthreshold MOS current-mode logic. Electron. Lett. 43(12), 662–664 (2007)CrossRef Cannillo, F., Toumazou, C., Lande, T.S.: Bulk-drain connected load for subthreshold MOS current-mode logic. Electron. Lett. 43(12), 662–664 (2007)CrossRef
21.
Zurück zum Zitat Bai, Y., Song, Y., Bojnordi, M.N., Shapiro, A., Friedman, E.G., Ipek, E.: Back to the future: current-mode processor in the era of deeply scaled CMOS. IEEE Trans. VLSI Syst. 24(4), 1266–1279 (2016)CrossRef Bai, Y., Song, Y., Bojnordi, M.N., Shapiro, A., Friedman, E.G., Ipek, E.: Back to the future: current-mode processor in the era of deeply scaled CMOS. IEEE Trans. VLSI Syst. 24(4), 1266–1279 (2016)CrossRef
22.
Zurück zum Zitat Shapiro, A., Friedman, E.G.: MOS current mode logic near threshold circuits. J. Low Power Electron. Appl. 4(2), 138–152 (2014)CrossRef Shapiro, A., Friedman, E.G.: MOS current mode logic near threshold circuits. J. Low Power Electron. Appl. 4(2), 138–152 (2014)CrossRef
23.
Zurück zum Zitat Lin, J.F., Hwang, Y.T., Sheu, M.H., Ho, C.C.: A novel high-speed and energy efficient 10-transistor full adder design. IEEE Trans. Circuits Syst. I 54(5), 1050–1059 (2007)CrossRef Lin, J.F., Hwang, Y.T., Sheu, M.H., Ho, C.C.: A novel high-speed and energy efficient 10-transistor full adder design. IEEE Trans. Circuits Syst. I 54(5), 1050–1059 (2007)CrossRef
24.
Zurück zum Zitat Sun, Y., Kursun, V.: Carbon nanotubes blowing new life into NP dynamic CMOS circuits. IEEE Trans. Circuits Syst. 61(2), 420–428 (2014)CrossRef Sun, Y., Kursun, V.: Carbon nanotubes blowing new life into NP dynamic CMOS circuits. IEEE Trans. Circuits Syst. 61(2), 420–428 (2014)CrossRef
Metadaten
Titel
Design and development of high performance MOS current mode logic (MCML) processor for fast and power efficient computing
verfasst von
K. P. Sai Pradeep
S. Suresh Kumar
Publikationsdatum
15.02.2018
Verlag
Springer US
Erschienen in
Cluster Computing / Ausgabe Sonderheft 6/2019
Print ISSN: 1386-7857
Elektronische ISSN: 1573-7543
DOI
https://doi.org/10.1007/s10586-018-1917-5

Weitere Artikel der Sonderheft 6/2019

Cluster Computing 6/2019 Zur Ausgabe