Skip to main content
Erschienen in: Wireless Personal Communications 2/2021

30.04.2021

Design and Simulation for NBTI Aware Logic Gates

verfasst von: Kajal, Vijay Kumar Sharma

Erschienen in: Wireless Personal Communications | Ausgabe 2/2021

Einloggen

Aktivieren Sie unsere intelligente Suche, um passende Fachinhalte oder Patente zu finden.

search-config
loading …

Abstract

Reliability of the electronic circuits is one of the most prominent factor in the development of very large-scale integration (VLSI) industry. Huge demand for compact size and high performance of electronic devices leads the excessive scaling of the transistor. Aggressive scaling of transistor in ultra-deep submicron regime arises the problem of short channel effects (SCEs) which is the major reason for subthreshold leakage and reliability issues. Negative input voltage to p-channel metal oxide semiconductor (PMOS) transistor causes interface traps at silicon dioxide and silicon substrate interface which leads to increase in the threshold voltage of the transistor and degrades the circuit performance. The design parameters exceed the design specification and causes the variations in timing due to negative bias temperature instability (NBTI) degradation that results logic failure. This paper presents the impact of reliability variations on 32 nm complementary metal oxide semiconductor (CMOS) predictive technology model (PTM) and 20 nm multi-gate PTM fin-shaped field effect transistor (FinFET) circuits. NBTI degradation of various circuits are evaluated with the help of Cadence’s virtuoso tool containing Spectre native reliability simulator. Simulation results are showing that NBTI degradation causes variations in threshold voltage and alters the output performance.

Sie haben noch keine Lizenz? Dann Informieren Sie sich jetzt über unsere Produkte:

Springer Professional "Technik"

Online-Abonnement

Mit Springer Professional "Technik" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 390 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Maschinenbau + Werkstoffe




 

Jetzt Wissensvorsprung sichern!

Springer Professional "Wirtschaft+Technik"

Online-Abonnement

Mit Springer Professional "Wirtschaft+Technik" erhalten Sie Zugriff auf:

  • über 102.000 Bücher
  • über 537 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Maschinenbau + Werkstoffe
  • Versicherung + Risiko

Jetzt Wissensvorsprung sichern!

Springer Professional "Wirtschaft"

Online-Abonnement

Mit Springer Professional "Wirtschaft" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 340 Zeitschriften

aus folgenden Fachgebieten:

  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Versicherung + Risiko




Jetzt Wissensvorsprung sichern!

Literatur
1.
Zurück zum Zitat Prakash, O., Beniwal, S., Maheshwaram, S., Bulusu, A., Singh, N., & Manhas, S. K. (2017). Compact NBTI reliability modeling in Si nanowire MOSFETs and effect in circuits. IEEE Transactions on Device and Materials Reliability, 17(2), 404–413CrossRef Prakash, O., Beniwal, S., Maheshwaram, S., Bulusu, A., Singh, N., & Manhas, S. K. (2017). Compact NBTI reliability modeling in Si nanowire MOSFETs and effect in circuits. IEEE Transactions on Device and Materials Reliability, 17(2), 404–413CrossRef
2.
Zurück zum Zitat Mann, R. W., Zhao, M., Kwon, O. S., Cao, X., Parihar, S., Karim, M. A. U., & Carter, R. (2020). Bias-dependent variation in FinFET SRAM. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 28(5), 1341–1344. Mann, R. W., Zhao, M., Kwon, O. S., Cao, X., Parihar, S., Karim, M. A. U., & Carter, R. (2020). Bias-dependent variation in FinFET SRAM. IEEE Transactions on Very Large Scale Integration (VLSI) Systems28(5), 1341–1344.
3.
Zurück zum Zitat Gupta, A., Mathur, R., & Nizamuddin, M. (2019). Design, simulation and comparative analysis of a novel FinFET based astable multivibrator. AEU-International Journal of Electronics and Communications, 100, 163–171CrossRef Gupta, A., Mathur, R., & Nizamuddin, M. (2019). Design, simulation and comparative analysis of a novel FinFET based astable multivibrator. AEU-International Journal of Electronics and Communications, 100, 163–171CrossRef
4.
Zurück zum Zitat Zimpeck, A. L., Meinhardt, C., & Reis, R. A. L. (2015). Impact of PVT variability on 20 nm FinFET standard cells. Microelectronics Reliability, 55(9–10), 1379–1383CrossRef Zimpeck, A. L., Meinhardt, C., & Reis, R. A. L. (2015). Impact of PVT variability on 20 nm FinFET standard cells. Microelectronics Reliability, 55(9–10), 1379–1383CrossRef
5.
Zurück zum Zitat Kajal, Sharma, V. K. (2020). FinFET: A Beginning of Non-planar Transistor Era. In Nanoscale VLSI (pp. 139–159). Springer. Kajal, Sharma, V. K. (2020). FinFET: A Beginning of Non-planar Transistor Era. In Nanoscale VLSI (pp. 139–159). Springer.
6.
Zurück zum Zitat Bagheriye, L., Toofan, S., Saeidi, R., & Moradi, F. (2019). Highly stable, low power FinFET SRAM cells with exploiting dynamic back-gate biasing. Integration, 65, 128–137CrossRef Bagheriye, L., Toofan, S., Saeidi, R., & Moradi, F. (2019). Highly stable, low power FinFET SRAM cells with exploiting dynamic back-gate biasing. Integration, 65, 128–137CrossRef
7.
Zurück zum Zitat Taghipour, S., & Asli, R. N. (2017). Aging comparative analysis of high-performance FinFET and CMOS flip-flops. Microelectronics Reliability, 69, 52–59CrossRef Taghipour, S., & Asli, R. N. (2017). Aging comparative analysis of high-performance FinFET and CMOS flip-flops. Microelectronics Reliability, 69, 52–59CrossRef
8.
Zurück zum Zitat Mukhopadhyay, S., Lee, Y. H., & Lee, J. H. (2018). Time-zero-variability and BTI impact on advanced FinFET device and circuit reliability. Microelectronics Reliability, 81, 226–231CrossRef Mukhopadhyay, S., Lee, Y. H., & Lee, J. H. (2018). Time-zero-variability and BTI impact on advanced FinFET device and circuit reliability. Microelectronics Reliability, 81, 226–231CrossRef
9.
Zurück zum Zitat Zimpeck, A. L., Meinhardt, C., Artola, L., Hubert, G., Kastensmidt, F. L., & Reis, R. A. L. (2018). Impact of different transistor arrangements on gate variability. Microelectronics Reliability, 88, 111–115CrossRef Zimpeck, A. L., Meinhardt, C., Artola, L., Hubert, G., Kastensmidt, F. L., & Reis, R. A. L. (2018). Impact of different transistor arrangements on gate variability. Microelectronics Reliability, 88, 111–115CrossRef
10.
Zurück zum Zitat Stathis, J. H., & Zafar, S. (2006). The negative bias temperature instability in MOS devices: A review. Microelectronics Reliability, 46(2–4), 270–286CrossRef Stathis, J. H., & Zafar, S. (2006). The negative bias temperature instability in MOS devices: A review. Microelectronics Reliability, 46(2–4), 270–286CrossRef
11.
Zurück zum Zitat Grasser, T., Waltl, M., Rzepa, G., Goes, W., Wimmer, Y., El-Sayed, A. M., & Kaczer, B. (2016). The “permanent” component of NBTI revisited: saturation, degradation-reversal, and annealing. In 2016 IEEE International Reliability Physics Symposium (IRPS) (pp. 5A-2). IEEE. Grasser, T., Waltl, M., Rzepa, G., Goes, W., Wimmer, Y., El-Sayed, A. M., & Kaczer, B. (2016). The “permanent” component of NBTI revisited: saturation, degradation-reversal, and annealing. In 2016 IEEE International Reliability Physics Symposium (IRPS) (pp. 5A-2). IEEE.
12.
Zurück zum Zitat Mahapatra, S., Goel, N., Desai, S., Gupta, S., Jose, B., Mukhopadhyay, S., & Alam, M. A. (2013). A comparative study of different physics-based NBTI models. IEEE Transactions on Electron Devices, 60(3), 901–916CrossRef Mahapatra, S., Goel, N., Desai, S., Gupta, S., Jose, B., Mukhopadhyay, S., & Alam, M. A. (2013). A comparative study of different physics-based NBTI models. IEEE Transactions on Electron Devices, 60(3), 901–916CrossRef
13.
Zurück zum Zitat Sharifi, M. J., & Ahmadian, M. (2018). Novel designs for digital gates based on single electron devices to overcome the traditional limitation on speed and bit error rate. Microelectronics Journal, 73, 12–17CrossRef Sharifi, M. J., & Ahmadian, M. (2018). Novel designs for digital gates based on single electron devices to overcome the traditional limitation on speed and bit error rate. Microelectronics Journal, 73, 12–17CrossRef
14.
Zurück zum Zitat Choudhury, N., Parihar, N., & Mahapatra, S. (2020). Analysis of the hole trapping detrapping component of NBTI over extended temperature range. In 2020 IEEE International Reliability Physics Symposium (IRPS) (pp. 1–5). IEEE. Choudhury, N., Parihar, N., & Mahapatra, S. (2020). Analysis of the hole trapping detrapping component of NBTI over extended temperature range. In 2020 IEEE International Reliability Physics Symposium (IRPS) (pp. 1–5). IEEE.
15.
Zurück zum Zitat Jin, S., & Han, Y. (2012). M-IVC: Applying multiple input vectors to co-optimize aging and leakage. Microelectronics Journal, 43(11), 838–847CrossRef Jin, S., & Han, Y. (2012). M-IVC: Applying multiple input vectors to co-optimize aging and leakage. Microelectronics Journal, 43(11), 838–847CrossRef
16.
Zurück zum Zitat Ma, C., Li, X., Sun, F., Zhang, L., & Lin, X. (2016). Investigation of the NBTI induced mobility degradation for precise circuit aging simulation. In 2016 IEEE International Nanoelectronics Conference (INEC) (pp. 1–2). IEEE. Ma, C., Li, X., Sun, F., Zhang, L., & Lin, X. (2016). Investigation of the NBTI induced mobility degradation for precise circuit aging simulation. In 2016 IEEE International Nanoelectronics Conference (INEC) (pp. 1–2). IEEE.
17.
Zurück zum Zitat Chaudhary, A., & Mahapatra, S. (2013). A physical and SPICE mobility degradation analysis for NBTI. IEEE Transactions on Electron Devices, 60(7), 2096–2103CrossRef Chaudhary, A., & Mahapatra, S. (2013). A physical and SPICE mobility degradation analysis for NBTI. IEEE Transactions on Electron Devices, 60(7), 2096–2103CrossRef
18.
Zurück zum Zitat Arqub, O. A., & Abo-Hammour, Z. (2014). Numerical solution of systems of second-order boundary value problems using continuous genetic algorithm. Information Sciences, 279, 396–415MathSciNetCrossRef Arqub, O. A., & Abo-Hammour, Z. (2014). Numerical solution of systems of second-order boundary value problems using continuous genetic algorithm. Information Sciences, 279, 396–415MathSciNetCrossRef
19.
Zurück zum Zitat Arqub, O. A., Al-Smadi, M., Momani, S., & Hayat, T. (2017). Application of reproducing kernel algorithm for solving second-order, two-point fuzzy boundary value problems. Soft Computing, 21(23), 7191–7206CrossRef Arqub, O. A., Al-Smadi, M., Momani, S., & Hayat, T. (2017). Application of reproducing kernel algorithm for solving second-order, two-point fuzzy boundary value problems. Soft Computing, 21(23), 7191–7206CrossRef
20.
Zurück zum Zitat Arqub, O. A. (2017). Adaptation of reproducing kernel algorithm for solving fuzzy Fredholm-Volterra integrodifferential equations. Neural Computing and Applications, 28(7), 1591–1610CrossRef Arqub, O. A. (2017). Adaptation of reproducing kernel algorithm for solving fuzzy Fredholm-Volterra integrodifferential equations. Neural Computing and Applications, 28(7), 1591–1610CrossRef
21.
Zurück zum Zitat Alwan, M., Beydoun, B., Ketata, K., & Zoaeter, M. (2007). Bias temperature instability from gate charge characteristics investigations in n-channel power MOSFET. Microelectronics Journal, 38(6–7), 727–734CrossRef Alwan, M., Beydoun, B., Ketata, K., & Zoaeter, M. (2007). Bias temperature instability from gate charge characteristics investigations in n-channel power MOSFET. Microelectronics Journal, 38(6–7), 727–734CrossRef
22.
Zurück zum Zitat Monga, U., Khandelwal, S., Aghassi, J., Sedlmeir, J., & Fjeldly, T. A. (2012). Assessment of NBTI in Presence of Self-Heating in High-k SOI FinFETs. IEEE Electron Device Letters, 33(11), 1532–1534CrossRef Monga, U., Khandelwal, S., Aghassi, J., Sedlmeir, J., & Fjeldly, T. A. (2012). Assessment of NBTI in Presence of Self-Heating in High-k SOI FinFETs. IEEE Electron Device Letters, 33(11), 1532–1534CrossRef
23.
Zurück zum Zitat Mishra, S., & Mahapatra, S. (2016). On the impact of time-zero variability, variable NBTI, and stochastic TDDB on SRAM cells. IEEE Transactions on Electron Devices, 63(7), 2764–2770CrossRef Mishra, S., & Mahapatra, S. (2016). On the impact of time-zero variability, variable NBTI, and stochastic TDDB on SRAM cells. IEEE Transactions on Electron Devices, 63(7), 2764–2770CrossRef
24.
Zurück zum Zitat Mishra, S., Parihar, N., Anandkrishnan, R., Dabhi, C. K., Chauhan, Y. S., & Mahapatra, S. (2018). NBTI-related variability impact on 14-nm node FinFET SRAM performance and static power: Correlation to time zero fluctuations. IEEE Transactions on Electron Devices, 65(11), 4846–4853CrossRef Mishra, S., Parihar, N., Anandkrishnan, R., Dabhi, C. K., Chauhan, Y. S., & Mahapatra, S. (2018). NBTI-related variability impact on 14-nm node FinFET SRAM performance and static power: Correlation to time zero fluctuations. IEEE Transactions on Electron Devices, 65(11), 4846–4853CrossRef
25.
Zurück zum Zitat Parihar, N., Sharma, U., Southwick, R. G., Wang, M., Stathis, J. H., & Mahapatra, S. (2017). Ultrafast measurements and physical modeling of NBTI stress and recovery in RMG FinFETs under diverse DC–AC experimental conditions. IEEE Transactions on Electron Devices, 65(1), 23–30CrossRef Parihar, N., Sharma, U., Southwick, R. G., Wang, M., Stathis, J. H., & Mahapatra, S. (2017). Ultrafast measurements and physical modeling of NBTI stress and recovery in RMG FinFETs under diverse DC–AC experimental conditions. IEEE Transactions on Electron Devices, 65(1), 23–30CrossRef
26.
Zurück zum Zitat Kim, J., Hong, K., Shim, H., Rhee, H., & Shin, H. (2020). Comparative Analysis of Hot Carrier Degradation (HCD) in 10-nm Node nMOS/pMOS FinFET Devices. IEEE Transactions on Electron Devices, 67(12), 5396–5402CrossRef Kim, J., Hong, K., Shim, H., Rhee, H., & Shin, H. (2020). Comparative Analysis of Hot Carrier Degradation (HCD) in 10-nm Node nMOS/pMOS FinFET Devices. IEEE Transactions on Electron Devices, 67(12), 5396–5402CrossRef
27.
Zurück zum Zitat Zhou, L., Wang, G., Yin, X., Ji, Z., Liu, Q., Xu, H., & Wang, W. (2020). Comparative study on NBTI kinetics in Si p-FinFETs with B2H6-based and SiH4-based atomic layer deposition tungsten (ALD W) filling metal. Microelectronics Reliability, 107, 113627CrossRef Zhou, L., Wang, G., Yin, X., Ji, Z., Liu, Q., Xu, H., & Wang, W. (2020). Comparative study on NBTI kinetics in Si p-FinFETs with B2H6-based and SiH4-based atomic layer deposition tungsten (ALD W) filling metal. Microelectronics Reliability, 107, 113627CrossRef
28.
Zurück zum Zitat Yang, Y. L., Zhang, W., Yan, S. Y., Yu, Y. H., Fang, Z. Y., & Yeh, W. K. (2020). Study on device reliability for P-type FinFETs with different fin numbers. Vacuum, 181, 109601CrossRef Yang, Y. L., Zhang, W., Yan, S. Y., Yu, Y. H., Fang, Z. Y., & Yeh, W. K. (2020). Study on device reliability for P-type FinFETs with different fin numbers. Vacuum, 181, 109601CrossRef
29.
Zurück zum Zitat Johannah, J. J., Korah, R., & Kalavathy, M. (2017). Standby and dynamic power minimization using enhanced hybrid power gating structure for deep-submicron CMOS VLSI. Microelectronics Journal, 62, 137–145CrossRef Johannah, J. J., Korah, R., & Kalavathy, M. (2017). Standby and dynamic power minimization using enhanced hybrid power gating structure for deep-submicron CMOS VLSI. Microelectronics Journal, 62, 137–145CrossRef
30.
Zurück zum Zitat Srinivasan, N., Prakash, N. S., Shalakha, D., Sivaranjani, D., & Sundari, B. B. T. (2015). Power reduction by clock gating technique. Procedia Technology, 21, 631–635CrossRef Srinivasan, N., Prakash, N. S., Shalakha, D., Sivaranjani, D., & Sundari, B. B. T. (2015). Power reduction by clock gating technique. Procedia Technology, 21, 631–635CrossRef
31.
Zurück zum Zitat Calimera, A., Macii, E., & Poncino, M. (2010). NBTI-aware clustered power gating. ACM Transactions on Design Automation of Electronic Systems (TODAES), 16(1), 1–25CrossRef Calimera, A., Macii, E., & Poncino, M. (2010). NBTI-aware clustered power gating. ACM Transactions on Design Automation of Electronic Systems (TODAES), 16(1), 1–25CrossRef
32.
Zurück zum Zitat Parthasarathy, C. R., Denais, M., Huard, V., Ribes, G., Roy, D., Guerin, C., & Bravaix, A. (2006). Designing in reliability in advanced CMOS technologies. Microelectronics Reliability, 46(9–11), 1464–1471CrossRef Parthasarathy, C. R., Denais, M., Huard, V., Ribes, G., Roy, D., Guerin, C., & Bravaix, A. (2006). Designing in reliability in advanced CMOS technologies. Microelectronics Reliability, 46(9–11), 1464–1471CrossRef
33.
Zurück zum Zitat Yang, Z., Yu, Y., Zhang, C., & Peng, X. (2016). NBTI-aware adaptive minimum leakage vector selection using a linear programming approach. Integration, 53, 126–137CrossRef Yang, Z., Yu, Y., Zhang, C., & Peng, X. (2016). NBTI-aware adaptive minimum leakage vector selection using a linear programming approach. Integration, 53, 126–137CrossRef
34.
Zurück zum Zitat Khoshavi, N., Ashraf, R. A., DeMara, R. F., Kiamehr, S., Oboril, F., & Tahoori, M. B. (2017). Contemporary CMOS aging mitigation techniques: Survey, taxonomy, and methods. Integration, 59, 10–22CrossRef Khoshavi, N., Ashraf, R. A., DeMara, R. F., Kiamehr, S., Oboril, F., & Tahoori, M. B. (2017). Contemporary CMOS aging mitigation techniques: Survey, taxonomy, and methods. Integration, 59, 10–22CrossRef
35.
Zurück zum Zitat Sharma, V. K., & Pattanaik, M. (2016). Design of low leakage variability aware ONOFIC CMOS standard cell library. Journal of Circuits, Systems and Computers, 25(11), 1650134CrossRef Sharma, V. K., & Pattanaik, M. (2016). Design of low leakage variability aware ONOFIC CMOS standard cell library. Journal of Circuits, Systems and Computers, 25(11), 1650134CrossRef
36.
Zurück zum Zitat Roy, K., Mukhopadhyay, S., & Mahmoodi-Meimand, H. (2003). Leakage current mechanisms and leakage reduction techniques in deep-submicrometer CMOS circuits. Proceedings of the IEEE, 91(2), 305–327CrossRef Roy, K., Mukhopadhyay, S., & Mahmoodi-Meimand, H. (2003). Leakage current mechanisms and leakage reduction techniques in deep-submicrometer CMOS circuits. Proceedings of the IEEE, 91(2), 305–327CrossRef
37.
Zurück zum Zitat Sun, P., Yang, Z., Yu, Y., Li, J., & Peng, X. (2017). NBTI and power reduction using an input vector control and supply voltage assignment method. Algorithms, 10(3), 94MathSciNetCrossRef Sun, P., Yang, Z., Yu, Y., Li, J., & Peng, X. (2017). NBTI and power reduction using an input vector control and supply voltage assignment method. Algorithms, 10(3), 94MathSciNetCrossRef
41.
Zurück zum Zitat Mahajan, D., & Ruparelia, V. (2018). Reliability simulation and analysis of important RF circuits using cadence Relxpert. In 2018 IEEE International Conference on Electronics, Computing and Communication Technologies (CONECCT) (pp. 1–6). IEEE. Mahajan, D., & Ruparelia, V. (2018). Reliability simulation and analysis of important RF circuits using cadence Relxpert. In 2018 IEEE International Conference on Electronics, Computing and Communication Technologies (CONECCT) (pp. 1–6). IEEE.
42.
Zurück zum Zitat Kajal, & Sharma, V. K. (2020). A Novel Low Power Technique for FinFET Domino OR Logic. Journal of Circuits, Systems and Computers, 2150117. Kajal, & Sharma, V. K. (2020). A Novel Low Power Technique for FinFET Domino OR Logic. Journal of Circuits, Systems and Computers, 2150117.
Metadaten
Titel
Design and Simulation for NBTI Aware Logic Gates
verfasst von
Kajal
Vijay Kumar Sharma
Publikationsdatum
30.04.2021
Verlag
Springer US
Erschienen in
Wireless Personal Communications / Ausgabe 2/2021
Print ISSN: 0929-6212
Elektronische ISSN: 1572-834X
DOI
https://doi.org/10.1007/s11277-021-08522-z

Weitere Artikel der Ausgabe 2/2021

Wireless Personal Communications 2/2021 Zur Ausgabe

Neuer Inhalt