Skip to main content
Erschienen in: Design Automation for Embedded Systems 1-2/2015

01.03.2015

Design methodology for on-chip-based processor debugger

verfasst von: Hyeongbae Park, Jingzhe Xu, Jeong-Hoon Ji, Jusung Park, Gyun Woo

Erschienen in: Design Automation for Embedded Systems | Ausgabe 1-2/2015

Einloggen

Aktivieren Sie unsere intelligente Suche, um passende Fachinhalte oder Patente zu finden.

search-config
loading …

Abstract

Due to the increased complexity of modern embedded systems and time-to-market constraints, a debugger with efficient debugging functions is becoming increasingly necessary, and it plays an important role in the development of application systems. Accordingly, the implementation of efficient debug functionalities must a critical process in the design of a new processor. Since deeply embedded processor cores in a core-based system chip allow only restricted access for debugging its internal status, most recent processors employ the on-chip-based debug method that embeds special logic-supporting debug capabilities. In this paper, we propose an on-chip debug support logic that can be embedded into the processor core to support debug functions. Moreover, we describe an overall implementation method of the on-chip-based processor debugger based on the on-chip debug support logic, which includes a source-level debugger and an interface block. We designed an on-chip debug support logic, and embedded it into a target processor core. We used the GNU Project debugger (GDB) as the source-level debugger of the target processor core. An interface block that uses the remote debugging features of GDB was also developed and that includes a software module and a hardware board. We discuss all major design steps for implementing this on-chip-based processor debugger. We have successfully applied the proposed implementation method to develop the processor debugger for two new 32-bit RISC processors. In addition, we introduce another use of the on-chip-based processor debugger in the design of a processor-based system chip, which can facilitate simulation-based functional verification.

Sie haben noch keine Lizenz? Dann Informieren Sie sich jetzt über unsere Produkte:

Springer Professional "Wirtschaft+Technik"

Online-Abonnement

Mit Springer Professional "Wirtschaft+Technik" erhalten Sie Zugriff auf:

  • über 102.000 Bücher
  • über 537 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Maschinenbau + Werkstoffe
  • Versicherung + Risiko

Jetzt Wissensvorsprung sichern!

Springer Professional "Technik"

Online-Abonnement

Mit Springer Professional "Technik" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 390 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Maschinenbau + Werkstoffe




 

Jetzt Wissensvorsprung sichern!

Springer Professional "Wirtschaft"

Online-Abonnement

Mit Springer Professional "Wirtschaft" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 340 Zeitschriften

aus folgenden Fachgebieten:

  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Versicherung + Risiko




Jetzt Wissensvorsprung sichern!

Literatur
2.
10.
Zurück zum Zitat Vermeulen B, van Rootselaar GJ (2000) Silicon debug of a co-processor array for video applications. In: Fifth IEEE international high-level design validation and test workshop (HLDVT’00), p 47 Vermeulen B, van Rootselaar GJ (2000) Silicon debug of a co-processor array for video applications. In: Fifth IEEE international high-level design validation and test workshop (HLDVT’00), p 47
11.
Zurück zum Zitat Vermeulen B, Oostdijk S, Bouwman F (2001) Test and debug strategy of the PNX8525 Nexperia\(^{\rm {TM}}\) digital video platform system chip. In: International test conference 2001 (ITC’01), p 121 Vermeulen B, Oostdijk S, Bouwman F (2001) Test and debug strategy of the PNX8525 Nexperia\(^{\rm {TM}}\) digital video platform system chip. In: International test conference 2001 (ITC’01), p 121
12.
Zurück zum Zitat Vermeulen B, Waayers T, Goel SK (2002) Core-based scan architecture for silicon debug. In: Proceedings of IEEE international test conference, Washington, p 638 Vermeulen B, Waayers T, Goel SK (2002) Core-based scan architecture for silicon debug. In: Proceedings of IEEE international test conference, Washington, p 638
13.
Zurück zum Zitat Huang I-J, Kao C-F, Chen H-M, Juan C-N (2002) A retargetable embedded in-circuit emulation module for microprocessors. IEEE Des Test Comput 19(4):28–38CrossRef Huang I-J, Kao C-F, Chen H-M, Juan C-N (2002) A retargetable embedded in-circuit emulation module for microprocessors. IEEE Des Test Comput 19(4):28–38CrossRef
14.
Zurück zum Zitat Maier KD (2003) On-chip debug support for embedded systems-on-chip. In: ISCAS. Bangkok, Thailand, pp 565–568 Maier KD (2003) On-chip debug support for embedded systems-on-chip. In: ISCAS. Bangkok, Thailand, pp 565–568
15.
Zurück zum Zitat Leatherman R, Stollon N (2005) An embedded debugging architecture for SoCs. IEEE Potentials 24(1):12–16CrossRef Leatherman R, Stollon N (2005) An embedded debugging architecture for SoCs. IEEE Potentials 24(1):12–16CrossRef
16.
17.
Zurück zum Zitat Stollon N et al. (2004) Multi-core embedded debug for structured ASIC systems. In: Proceedings of designcon Stollon N et al. (2004) Multi-core embedded debug for structured ASIC systems. In: Proceedings of designcon
18.
Zurück zum Zitat Mayer A, Siebert H, McDonald-Maier KD (2007) Boosting debugging support for complex systems on chip. Computer 40(4):76–81CrossRef Mayer A, Siebert H, McDonald-Maier KD (2007) Boosting debugging support for complex systems on chip. Computer 40(4):76–81CrossRef
19.
Zurück zum Zitat Paulin PG, Santana M (2002) FlexWare: a retargetable embedded-software development environment. IEEE Des Test Comput 19(4):59–69CrossRef Paulin PG, Santana M (2002) FlexWare: a retargetable embedded-software development environment. IEEE Des Test Comput 19(4):59–69CrossRef
20.
Zurück zum Zitat Stallman R, Pesch R, Shebs S GDB user manual: debugging with GDB (the GNU source-Level debugger. GDB version 6.4. Technical report, Free Software Foundation, Cambridge Stallman R, Pesch R, Shebs S GDB user manual: debugging with GDB (the GNU source-Level debugger. GDB version 6.4. Technical report, Free Software Foundation, Cambridge
21.
Zurück zum Zitat IEEE Std 1149.1a-1993 (1993) Test access port and boundary-scan architecture. IEEE, Piscataway IEEE Std 1149.1a-1993 (1993) Test access port and boundary-scan architecture. IEEE, Piscataway
22.
Zurück zum Zitat Hopkins, McDonald-Maier K (2006) Debug support for complex systems on-chip: a review. IEE Proc Comput Digit Tech 153(4):197–207CrossRef Hopkins, McDonald-Maier K (2006) Debug support for complex systems on-chip: a review. IEE Proc Comput Digit Tech 153(4):197–207CrossRef
23.
Zurück zum Zitat Rosenberg JB (1996) How debuggers work-algorithms, data structures, and architecture. Wiley, New York Rosenberg JB (1996) How debuggers work-algorithms, data structures, and architecture. Wiley, New York
24.
Zurück zum Zitat Hao H, Bhabuthmal K (1995) Clock controller design in superSPARCTMII microprocessor. In: Proceedings of international test conference on computer design (ICCD 95), pp 124–129 Hao H, Bhabuthmal K (1995) Clock controller design in superSPARCTMII microprocessor. In: Proceedings of international test conference on computer design (ICCD 95), pp 124–129
25.
Zurück zum Zitat Hao H, Avra R (1995) Structured design-for-debug: the superSPARCTMI1 methodology and implementation. In: Proceedings of international test conference (ITC 95), pp 175–183 Hao H, Avra R (1995) Structured design-for-debug: the superSPARCTMI1 methodology and implementation. In: Proceedings of international test conference (ITC 95), pp 175–183
26.
Zurück zum Zitat van Rootselaar GJ, Vermeulen B (1999) Silicon debug: scan chains alone are not enough. International test conference (ITC’99), p 892 van Rootselaar GJ, Vermeulen B (1999) Silicon debug: scan chains alone are not enough. International test conference (ITC’99), p 892
27.
Zurück zum Zitat Emnett F, Biegel M (2000) Power reduction through RTL clock gating?. SNUG, San Jose Emnett F, Biegel M (2000) Power reduction through RTL clock gating?. SNUG, San Jose
29.
Zurück zum Zitat Park H et al (2009) Design of on-chip debugging system using GNU debugger. IEEK 46(1):24–38 Park H et al (2009) Design of on-chip debugging system using GNU debugger. IEEK 46(1):24–38
30.
Zurück zum Zitat Alves GR, Martins Ferreira JM (1999) From design-for-test to design-for-debug-and-test: analysis of requirements and limitations for 1149.1. In: Proceedings of 17th IEEE VLSI test symposium (VTS99), IEEE CS Press, Los Alamitos, pp 473–480 Alves GR, Martins Ferreira JM (1999) From design-for-test to design-for-debug-and-test: analysis of requirements and limitations for 1149.1. In: Proceedings of 17th IEEE VLSI test symposium (VTS99), IEEE CS Press, Los Alamitos, pp 473–480
31.
Zurück zum Zitat Gatliff B (1999) Embedding with GNU: GNU debugger. Red Hat Developer Network (RHDN) Gatliff B (1999) Embedding with GNU: GNU debugger. Red Hat Developer Network (RHDN)
32.
Zurück zum Zitat Gatliff B (1999) Embedding with GNU: The GDB remote serial protocol. Red Hat Developer Network (RHDN) Gatliff B (1999) Embedding with GNU: The GDB remote serial protocol. Red Hat Developer Network (RHDN)
34.
Zurück zum Zitat Shebs S (2000) GDB: an open source debugger for embedded development. Red Hat Shebs S (2000) GDB: an open source debugger for embedded development. Red Hat
35.
Zurück zum Zitat Pizzi R (1993) GNU gdb internal architecture Pizzi R (1993) GNU gdb internal architecture
44.
Zurück zum Zitat Busonera G, Forin A (2007) eBug: debugging extensions for the eMIPS dynamically extensible processor, Microsoft research technical report MSR-TR-2007-155 Busonera G, Forin A (2007) eBug: debugging extensions for the eMIPS dynamically extensible processor, Microsoft research technical report MSR-TR-2007-155
Metadaten
Titel
Design methodology for on-chip-based processor debugger
verfasst von
Hyeongbae Park
Jingzhe Xu
Jeong-Hoon Ji
Jusung Park
Gyun Woo
Publikationsdatum
01.03.2015
Verlag
Springer US
Erschienen in
Design Automation for Embedded Systems / Ausgabe 1-2/2015
Print ISSN: 0929-5585
Elektronische ISSN: 1572-8080
DOI
https://doi.org/10.1007/s10617-014-9135-8

Weitere Artikel der Ausgabe 1-2/2015

Design Automation for Embedded Systems 1-2/2015 Zur Ausgabe