Skip to main content

2019 | OriginalPaper | Buchkapitel

17. Design of SRAM Resilient Against Dynamic Voltage Variations

verfasst von : Masahiko Yoshimoto, Yohei Nakata, Yuta Kimi, Hiroshi Kawaguchi, Makoto Nagata, Koji Nii

Erschienen in: VLSI Design and Test for Systems Dependability

Verlag: Springer Japan

Aktivieren Sie unsere intelligente Suche, um passende Fachinhalte oder Patente zu finden.

search-config
loading …

Abstract

This chapter deals with the design of SRAM cache resilient against dynamic voltage and temperature variations. The scaled CMOS SRAM suffers from a voltage margin reduction owing to the rising of the minimum operating voltage (Vmin), resulting in lower immunity against the dynamic voltage bounce on the power line. In order to solve this critical issue, the authors have proposed a resilient cache which is composed of a 256-KB 8-way cache memory array with 7T/14T bit-enhancing (BE) SRAM, voltage and temperature monitoring circuits, and an autonomous resilient cache controller. The autonomous controller detects degradation of the operating margin caused by the voltage and temperature fluctuation. If the margin is insufficient for stable operation, the controller changes the operating mode of 7T/14T bit-enhancing SRAM from 7T/bit normal operating mode to more reliable 14T/bit-enhancing mode. This adaptive control enables maintenance of the required voltage margin in the current operating condition. The experimental cache was designed and fabricated by 40 nm CMOS technology. The voltage variation tolerance of the resilient cache was evaluated using a voltage droop injection to the external power supply rail. Under 25 and 30% droop conditions, the failures increase linearly with droop duration length without the proposed scheme. Using the proposed scheme, the resilient cache does not fail irrespective of the droop duration length. The failure rate is improved by 91 times of that without the proposed scheme under 35% droop condition with 50 ms duration. The capacity decrease degrades processor performance only by 2.88% when all blocks operate in the enhancing mode.

Sie haben noch keine Lizenz? Dann Informieren Sie sich jetzt über unsere Produkte:

Springer Professional "Wirtschaft+Technik"

Online-Abonnement

Mit Springer Professional "Wirtschaft+Technik" erhalten Sie Zugriff auf:

  • über 102.000 Bücher
  • über 537 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Maschinenbau + Werkstoffe
  • Versicherung + Risiko

Jetzt Wissensvorsprung sichern!

Springer Professional "Technik"

Online-Abonnement

Mit Springer Professional "Technik" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 390 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Maschinenbau + Werkstoffe




 

Jetzt Wissensvorsprung sichern!

Springer Professional "Wirtschaft"

Online-Abonnement

Mit Springer Professional "Wirtschaft" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 340 Zeitschriften

aus folgenden Fachgebieten:

  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Versicherung + Risiko




Jetzt Wissensvorsprung sichern!

Literatur
1.
Zurück zum Zitat K.A. Bowman, C. Tokunaga, J.W. Tschanz, A. Raychowdhury, M.M. Khellah, B.M. Geuskens, S.L. Lu, P.A. Aseron, T. Karnik, V.K. De, All-digital circuit-level dynamic variation monitor for silicon debug and adaptive clock control. IEEE Trans. Circuits Syst. I 58(9), 2017–2025 (2011)MathSciNetCrossRef K.A. Bowman, C. Tokunaga, J.W. Tschanz, A. Raychowdhury, M.M. Khellah, B.M. Geuskens, S.L. Lu, P.A. Aseron, T. Karnik, V.K. De, All-digital circuit-level dynamic variation monitor for silicon debug and adaptive clock control. IEEE Trans. Circuits Syst. I 58(9), 2017–2025 (2011)MathSciNetCrossRef
2.
Zurück zum Zitat K.A. Bowman, J.W. Tschanz, S.L. Lu, P.A. Aseron, M.M. Khellah, A. Raychowdhury, B.M. Geuskens, C. Tokunaga, C.B. Wilkerson, T. Karnik, V.K. De, A 45 nm resilient microprocessor core for dynamic variation tolerance. IEEE J. Solid-State Circuits 46(2), 194–208 (2011)CrossRef K.A. Bowman, J.W. Tschanz, S.L. Lu, P.A. Aseron, M.M. Khellah, A. Raychowdhury, B.M. Geuskens, C. Tokunaga, C.B. Wilkerson, T. Karnik, V.K. De, A 45 nm resilient microprocessor core for dynamic variation tolerance. IEEE J. Solid-State Circuits 46(2), 194–208 (2011)CrossRef
3.
Zurück zum Zitat J. Tschanz, N.S. Kim, S. Dighe, J. Howard, G. Ruhl, S. Vangal, S. Narendra, Y. Hoskote, H. Wilson, C. Lam, M. Shuman, C. Tokunaga, D. Somasekhar, S. Tang, D. Finan, T. Karnik, N. Borkar, N. Kurd, and V.K. De, Adaptive frequency and biasing techniques for tolerance to dynamic temperature-voltage variations and aging, in Digest of Technical Papers of International Solid-State Circuits Conference (Feb 2007), pp. 292–293 J. Tschanz, N.S. Kim, S. Dighe, J. Howard, G. Ruhl, S. Vangal, S. Narendra, Y. Hoskote, H. Wilson, C. Lam, M. Shuman, C. Tokunaga, D. Somasekhar, S. Tang, D. Finan, T. Karnik, N. Borkar, N. Kurd, and V.K. De, Adaptive frequency and biasing techniques for tolerance to dynamic temperature-voltage variations and aging, in Digest of Technical Papers of International Solid-State Circuits Conference (Feb 2007), pp. 292–293
4.
Zurück zum Zitat A. Raychowdhury, B. Geuskens, K. Bowman, J. Tschanz, S.L. Lu, T. Karnik, M. Khellah, V.K. De, Tunable replica bits for dynamic variation tolerance in 8T SRAM arrays. IEEE J. Solid-State Circuits 46(4), 797–805 (2011)CrossRef A. Raychowdhury, B. Geuskens, K. Bowman, J. Tschanz, S.L. Lu, T. Karnik, M. Khellah, V.K. De, Tunable replica bits for dynamic variation tolerance in 8T SRAM arrays. IEEE J. Solid-State Circuits 46(4), 797–805 (2011)CrossRef
5.
Zurück zum Zitat H. Fujiwara, S. Okumura, Y. Iguchi, H. Noguchi, H. Kawaguchi, M. Yoshimoto, A 7T/14T dependable SRAM and its array structure to avoid half selection, in Proceedings of International Conference on VLSI Design (Jan 2009), pp. 295–300 H. Fujiwara, S. Okumura, Y. Iguchi, H. Noguchi, H. Kawaguchi, M. Yoshimoto, A 7T/14T dependable SRAM and its array structure to avoid half selection, in Proceedings of International Conference on VLSI Design (Jan 2009), pp. 295–300
6.
Zurück zum Zitat K. Noguchi, M. Nagata, An on-chip multichannel waveform monitor for diagnosis of systems-on-a-chip integration. IEEE Trans. Very Large Scale Integrator (VLSI) Syst. 15(10), 1101–1110 (2007)CrossRef K. Noguchi, M. Nagata, An on-chip multichannel waveform monitor for diagnosis of systems-on-a-chip integration. IEEE Trans. Very Large Scale Integrator (VLSI) Syst. 15(10), 1101–1110 (2007)CrossRef
8.
Zurück zum Zitat N. Binkert, S. Sardashti, R. Sen, K. Sewell, M. Shoaib, N. Vaish, M.D. Hill, D.A. Wood, B. Beckmann, G. Black, S.K. Reinhardt, A. Saidi, A. Basu, J. Hestness, D.R. Hower, T. Krishna, The gem5 simulator. ACM SIGARCH Comput. Archit. News 39(2), 1–7 (2011)CrossRef N. Binkert, S. Sardashti, R. Sen, K. Sewell, M. Shoaib, N. Vaish, M.D. Hill, D.A. Wood, B. Beckmann, G. Black, S.K. Reinhardt, A. Saidi, A. Basu, J. Hestness, D.R. Hower, T. Krishna, The gem5 simulator. ACM SIGARCH Comput. Archit. News 39(2), 1–7 (2011)CrossRef
Metadaten
Titel
Design of SRAM Resilient Against Dynamic Voltage Variations
verfasst von
Masahiko Yoshimoto
Yohei Nakata
Yuta Kimi
Hiroshi Kawaguchi
Makoto Nagata
Koji Nii
Copyright-Jahr
2019
Verlag
Springer Japan
DOI
https://doi.org/10.1007/978-4-431-56594-9_17

Neuer Inhalt