Skip to main content

2021 | OriginalPaper | Buchkapitel

8. Direct Cu to Cu Bonding and Alternative Bonding Techniques in 3D Packaging

verfasst von : Tadatomo Suga, Ran He, George Vakanas, Antonio La Manna

Erschienen in: 3D Microelectronic Packaging

Verlag: Springer Singapore

Aktivieren Sie unsere intelligente Suche, um passende Fachinhalte oder Patente zu finden.

search-config
loading …

Abstract

This chapter provides insight into direct Cu to Cu bonding and summarizes several critical empirical results. After comparing solder-less Cu–Cu bonding with solder-based bonding, we introduce various Cu–Cu stacking/bonding schemes for different 3D integration applications. We then review a number of methods of low-temperature Cu–Cu bonding including: (a) thermo-compression bonding (diffusion bonding), (b) Cu–Cu bonding with passivation capping layers, (c) surface-activated bonding (SAB) and (d) alternative bonding methods (e.g. Cu/dielectric hybrid bonding and Cu–Cu insertion bonding). The effects of surface activation, surface microstructures and characteristics, and surface passivation on Cu–Cu bonding are highlighted an– discussed to understand how bonding behavior depends on Cu surface cleanness, diffusion, temperature, compression pressure, and bonding atmosphere. Lastly, we briefly introduce the commercial equipment for Cu–Cu bonding for high-volume manufacturing and summarize with recommendations for future research directions.

Sie haben noch keine Lizenz? Dann Informieren Sie sich jetzt über unsere Produkte:

Springer Professional "Wirtschaft+Technik"

Online-Abonnement

Mit Springer Professional "Wirtschaft+Technik" erhalten Sie Zugriff auf:

  • über 102.000 Bücher
  • über 537 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Maschinenbau + Werkstoffe
  • Versicherung + Risiko

Jetzt Wissensvorsprung sichern!

Springer Professional "Technik"

Online-Abonnement

Mit Springer Professional "Technik" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 390 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Maschinenbau + Werkstoffe




 

Jetzt Wissensvorsprung sichern!

Fußnoten
1
Process times targets depend on stacking process e.g. chip-on-chip (CoC), chip-on-wafer (CoW) or wafer-on-wafer (WoW) processes, equipment configuration and manufacturing embodiment and resulting throughput and Model of Record (MoR). For Cu–Cu process to be adopted in high-volume manufacturing (HVM), higher throughput and lower cost is required compared to established (and depreciated) solder-based processes.
 
2
Acquired by Tessera, now consolidated in Xperi and TiVo merger end of 2019.
 
3
Pre-tested and sorted chips, hence the nomenclature: “known good dies” (KGD’s)
 
Literatur
2.
Zurück zum Zitat A. Shigetou, N. Hosoda, T. Itoh, T. Suga, Room-temperature direct bonding of CMP-Cu film for bumpless interconnection, in 2001 51st Electron Electronic Components and Technology Conference (Orlando, FL, 2001), pp 755–760 A. Shigetou, N. Hosoda, T. Itoh, T. Suga, Room-temperature direct bonding of CMP-Cu film for bumpless interconnection, in 2001 51st Electron Electronic Components and Technology Conference (Orlando, FL, 2001), pp 755–760
5.
Zurück zum Zitat B. Swinnen, W. Ruythooren, P. De Moor, L. Bogaerts, L. Carbonell, K. De Munck, B. Eyckens, S. Stoukatch, D.S. Tezcan, Z. Tokei, J. Vaes, 3D integration by Cu–Cu thermo-compression bonding of extremely thinned bulk-Si die containing 10 μm pitch through-Si vias, in 2006 International Electron Devices Meeting (IEEE, 2006), pp. 1–4 B. Swinnen, W. Ruythooren, P. De Moor, L. Bogaerts, L. Carbonell, K. De Munck, B. Eyckens, S. Stoukatch, D.S. Tezcan, Z. Tokei, J. Vaes, 3D integration by Cu–Cu thermo-compression bonding of extremely thinned bulk-Si die containing 10 μm pitch through-Si vias, in 2006 International Electron Devices Meeting (IEEE, 2006), pp. 1–4
9.
Zurück zum Zitat Chipworks, Samsung Galaxy S7 Edge Teardown Report, 2016 Chipworks, Samsung Galaxy S7 Edge Teardown Report, 2016
10.
Zurück zum Zitat M. Higashiwaki, K. Sasaki, T. Kamimura, M.H. Wong, D. Krishnamurthy, A. Kuramata, T. Masui, S. Yamakoshi, Depletion-mode Ga2O3 metal-oxide-semiconductor field-effect transistors on β-Ga2O3 (010) substrates and temperature dependence of their device characteristics. Appl. Phys. Lett. 103, 123511 (2013). https://doi.org/10.1063/1.4821858CrossRefADS M. Higashiwaki, K. Sasaki, T. Kamimura, M.H. Wong, D. Krishnamurthy, A. Kuramata, T. Masui, S. Yamakoshi, Depletion-mode Ga2O3 metal-oxide-semiconductor field-effect transistors on β-Ga2O3 (010) substrates and temperature dependence of their device characteristics. Appl. Phys. Lett. 103, 123511 (2013). https://​doi.​org/​10.​1063/​1.​4821858CrossRefADS
11.
Zurück zum Zitat T. Fukushima, Y. Yamada, H. Kikuchi, M. Koyanagi, New three-dimensional integration technology using self-assembly technique, in IEEE International Electron Devices Meeting, 2005. IEDM Technical Digest. (IEEE, 2005), pp. 348–351 T. Fukushima, Y. Yamada, H. Kikuchi, M. Koyanagi, New three-dimensional integration technology using self-assembly technique, in IEEE International Electron Devices Meeting, 2005. IEDM Technical Digest. (IEEE, 2005), pp. 348–351
14.
Zurück zum Zitat B. Rebhan, T. Plach, S. Tollabimazraehno, V. Dragoi, M. Kawano, Cu–Cu wafer bonding: An enabling technology for three-dimensional integration. In: 2014 International Conference on Electronics Packaging (ICEP) (IEEE, 2014). pp 475–479 B. Rebhan, T. Plach, S. Tollabimazraehno, V. Dragoi, M. Kawano, Cu–Cu wafer bonding: An enabling technology for three-dimensional integration. In: 2014 International Conference on Electronics Packaging (ICEP) (IEEE, 2014). pp 475–479
23.
Zurück zum Zitat V. Smet, M. Kobayashi, T. Wang, P.M. Raj, R. Tummala, A new era in manufacturable, low-temperature and ultra-fine pitch Cu interconnections and assembly without solders, in 2014 IEEE 64th Electronic Components and Technology Conference (ECTC) (IEEE, 2014), pp. 484–489 V. Smet, M. Kobayashi, T. Wang, P.M. Raj, R. Tummala, A new era in manufacturable, low-temperature and ultra-fine pitch Cu interconnections and assembly without solders, in 2014 IEEE 64th Electronic Components and Technology Conference (ECTC) (IEEE, 2014), pp. 484–489
25.
Zurück zum Zitat D.F. Lim, J. Wei, K.C. Leong, C.S. Tan, Surface passivation of Cu for low temperature 3D wafer bonding. ECS Solid State Lett. 1, P11–P14 (2012)CrossRef D.F. Lim, J. Wei, K.C. Leong, C.S. Tan, Surface passivation of Cu for low temperature 3D wafer bonding. ECS Solid State Lett. 1, P11–P14 (2012)CrossRef
28.
Zurück zum Zitat S. Armini, Y. Vandelaer, A. Lesniewska, V. Cherman, I. De Preter, F. Inoue, J. Derakhshandeh, G. Vakanas, E. Beyne, Thiol-based self-assembled monolayers (SAMs) as an alternative surface finish for 3D Cu microbumps, in TMS 2015 Proceedings Supplements, (Wiley, London, 2015), pp. 1355–1360 S. Armini, Y. Vandelaer, A. Lesniewska, V. Cherman, I. De Preter, F. Inoue, J. Derakhshandeh, G. Vakanas, E. Beyne, Thiol-based self-assembled monolayers (SAMs) as an alternative surface finish for 3D Cu microbumps, in TMS 2015 Proceedings Supplements, (Wiley, London, 2015), pp. 1355–1360
29.
32.
Zurück zum Zitat E. Beyne, V.J. De, J. Derakhshandeh, L. England, G. Vakanas, Thin Nib or Cob capping layer for non-noble metallic bonding landing pads (2015) E. Beyne, V.J. De, J. Derakhshandeh, L. England, G. Vakanas, Thin Nib or Cob capping layer for non-noble metallic bonding landing pads (2015)
35.
Zurück zum Zitat T. Suga, Feasibility of surface activated bonding for ultra-fine pitch interconnection-a new concept of bump-less direct bonding for system level packaging, in 2000 Proceedings. 50th Electronic Components and Technology Conference (Cat. No. 00CH37070) (IEEE, 2000), pp. 702–705 T. Suga, Feasibility of surface activated bonding for ultra-fine pitch interconnection-a new concept of bump-less direct bonding for system level packaging, in 2000 Proceedings. 50th Electronic Components and Technology Conference (Cat. No. 00CH37070) (IEEE, 2000), pp. 702–705
36.
Zurück zum Zitat T. Suga, K. Otsuka, Bump-less interconnect for next generation system packaging. in 2001 Proceedings. 51st Electronic Components and Technology Conference (Cat. No. 01CH37220), (IEEE, 2001), pp. 1003–1008 T. Suga, K. Otsuka, Bump-less interconnect for next generation system packaging. in 2001 Proceedings. 51st Electronic Components and Technology Conference (Cat. No. 01CH37220), (IEEE, 2001), pp. 1003–1008
40.
Zurück zum Zitat Shigetou, A. and Suga, T., Modified diffusion bond process for chemical mechanical polishing (CMP)-Cu at 150 °C in ambient air, in 2009 59th Electronic Components and Technology Conference (IEEE, San Diego, CA, 2009) pp. 365–369 Shigetou, A. and Suga, T., Modified diffusion bond process for chemical mechanical polishing (CMP)-Cu at 150 °C in ambient air, in 2009 59th Electronic Components and Technology Conference (IEEE, San Diego, CA, 2009) pp. 365–369
41.
Zurück zum Zitat A. Shigetou, T. Suga, Homo/heterogeneous bonding of Cu, SiO2, and polyimide by low temperature vapor-assisted surface activation method, in 2011 IEEE 61st Electronic Components and Technology Conference (ECTC) (IEEE, Lake Buena Vista, 2011), pp. 32–36 A. Shigetou, T. Suga, Homo/heterogeneous bonding of Cu, SiO2, and polyimide by low temperature vapor-assisted surface activation method, in 2011 IEEE 61st Electronic Components and Technology Conference (ECTC) (IEEE, Lake Buena Vista, 2011), pp. 32–36
45.
Zurück zum Zitat K. Okumura, E. Higurashi, T. Suga, K. Hagiwara, Influence of air exposure time on bonding strength in Au-Au surface activated wafer bonding, in 2015 International Conference on Electronics Packaging and iMAPS All Asia Conference (ICEP-IAAC) (IEEE, 2015), pp. 448–451 K. Okumura, E. Higurashi, T. Suga, K. Hagiwara, Influence of air exposure time on bonding strength in Au-Au surface activated wafer bonding, in 2015 International Conference on Electronics Packaging and iMAPS All Asia Conference (ICEP-IAAC) (IEEE, 2015), pp. 448–451
46.
Zurück zum Zitat H. Ishida, T. Ogashiwa, Y. Kanehira, S. Ito, T. Yazaki, J. Mizuno, Low-temperature, surface-compliant wafer bonding using sub-micron gold particles for wafer-level MEMS packaging, in 2012 IEEE 62nd Electronic Components and Technology Conference (IEEE, 2012), pp. 1140–1145 H. Ishida, T. Ogashiwa, Y. Kanehira, S. Ito, T. Yazaki, J. Mizuno, Low-temperature, surface-compliant wafer bonding using sub-micron gold particles for wafer-level MEMS packaging, in 2012 IEEE 62nd Electronic Components and Technology Conference (IEEE, 2012), pp. 1140–1145
48.
Zurück zum Zitat S.L. Chua, G.Y. Chong, Y.H. Lee, C.S. Tan, Direct copper-copper wafer bonding with Ar/N2 plasma activation, in 2015 IEEE International Conference on Electron Devices and Solid-State Circuits (EDSSC) (IEEE, 2015), pp. 134–137 S.L. Chua, G.Y. Chong, Y.H. Lee, C.S. Tan, Direct copper-copper wafer bonding with Ar/N2 plasma activation, in 2015 IEEE International Conference on Electron Devices and Solid-State Circuits (EDSSC) (IEEE, 2015), pp. 134–137
49.
Zurück zum Zitat P. Enquist, G. Fountain, C. Petteway, A. Hollingsworth, H. Grady, Low cost of ownership scalable copper direct bond interconnect 3D IC technology for three dimensional integrated circuit applications, in 2009 IEEE International Conference on 3D System Integration (IEEE, 2009), pp. 1–6 P. Enquist, G. Fountain, C. Petteway, A. Hollingsworth, H. Grady, Low cost of ownership scalable copper direct bond interconnect 3D IC technology for three dimensional integrated circuit applications, in 2009 IEEE International Conference on 3D System Integration (IEEE, 2009), pp. 1–6
50.
Zurück zum Zitat P. Enquist, Metal/silicon oxide hybrid bonding, in P. Ramm, J.J.-Q.Lu, M.M.V. Taklo, Handb. Wafer Bond eds by (Wiley, Weinheim, Germany, 2012), pp. 261–278 P. Enquist, Metal/silicon oxide hybrid bonding, in P. Ramm, J.J.-Q.Lu, M.M.V. Taklo, Handb. Wafer Bond eds by (Wiley, Weinheim, Germany, 2012), pp. 261–278
53.
Zurück zum Zitat L. Di Cioccio, S. Moreau, L. Sanchez, F. Baudin, P. Gueguen, S. Mermoz, Y. Beilliard, R. Taibi, Cu/SiO2 Hybrid Bonding, in P. Garrou, M. Koyanagi, P. Ramm, eds by Handb. 3D Integr (Wiley, KGaA, 2014), pp 295–312 L. Di Cioccio, S. Moreau, L. Sanchez, F. Baudin, P. Gueguen, S. Mermoz, Y. Beilliard, R. Taibi, Cu/SiO2 Hybrid Bonding, in P. Garrou, M. Koyanagi, P. Ramm, eds by Handb. 3D Integr (Wiley, KGaA, 2014), pp 295–312
54.
Zurück zum Zitat L.D. Cioccio, P. Gueguen, R. Taibi, D. Landru, G. Gaudin, C. Chappaz, F. Rieutord, F. de Crecy, I. Radu, L.L. Chapelon, L. Clavelier, An overview of patterned metal/dielectric surface bonding: mechanism, alignment and characterization. J. Electrochem. Soc. 158, P81–P86 (2011). https://doi.org/10.1149/1.3577596CrossRef L.D. Cioccio, P. Gueguen, R. Taibi, D. Landru, G. Gaudin, C. Chappaz, F. Rieutord, F. de Crecy, I. Radu, L.L. Chapelon, L. Clavelier, An overview of patterned metal/dielectric surface bonding: mechanism, alignment and characterization. J. Electrochem. Soc. 158, P81–P86 (2011). https://​doi.​org/​10.​1149/​1.​3577596CrossRef
55.
Zurück zum Zitat I. Radu, D. Landru, G. Gaudin, G. Riou, C. Tempesta, F. Letertre, L. Di Cioccio, P. Gueguen, T. Signamarcheix, C. Euvrard, J. Dechamp, Recent Developments of Cu-Cu non-thermo compression bonding for wafer-to-wafer 3D stacking, in 2010 IEEE International 3D Systems Integration Conference (3DIC) (IEEE, Munich, 2010), pp. 1–6 I. Radu, D. Landru, G. Gaudin, G. Riou, C. Tempesta, F. Letertre, L. Di Cioccio, P. Gueguen, T. Signamarcheix, C. Euvrard, J. Dechamp, Recent Developments of Cu-Cu non-thermo compression bonding for wafer-to-wafer 3D stacking, in 2010 IEEE International 3D Systems Integration Conference (3DIC) (IEEE, Munich, 2010), pp. 1–6
56.
Zurück zum Zitat C. Sabbione, L.D. Cioccio, L. Vandroux, J.-P. Nieto, F. Rieutord, Low temperature direct bonding mechanisms of tetraethyl orthosilicate based silicon oxide films deposited by plasma enhanced chemical vapor deposition. J. Appl. Phys. 112, 063501 (2012). https://doi.org/10.1063/1.4752258CrossRefADS C. Sabbione, L.D. Cioccio, L. Vandroux, J.-P. Nieto, F. Rieutord, Low temperature direct bonding mechanisms of tetraethyl orthosilicate based silicon oxide films deposited by plasma enhanced chemical vapor deposition. J. Appl. Phys. 112, 063501 (2012). https://​doi.​org/​10.​1063/​1.​4752258CrossRefADS
58.
Zurück zum Zitat P. Gueguen, L. Di Cioccio, P. Gergaud, M. Rivoire, D. Scevola, M. Zussy, A.M. Charvet, L. Bally, D. Lafond, L. Clavelier, Copper direct-bonding characterization and its interests for 3D integration. J. Electrochem. Soc. 156, H772 (2009). https://doi.org/10.1149/1.3187271CrossRef P. Gueguen, L. Di Cioccio, P. Gergaud, M. Rivoire, D. Scevola, M. Zussy, A.M. Charvet, L. Bally, D. Lafond, L. Clavelier, Copper direct-bonding characterization and its interests for 3D integration. J. Electrochem. Soc. 156, H772 (2009). https://​doi.​org/​10.​1149/​1.​3187271CrossRef
59.
Zurück zum Zitat A. Shigetou, T. Suga, Modified diffusion bonding for both Cu and SiO 2 at 150 °C in ambient air, in 2010 Proceedings 60th Electronic Components and Technology Conference (ECTC) (IEEE, Las Vegas, NV, USA, 2010), pp. 872–877 A. Shigetou, T. Suga, Modified diffusion bonding for both Cu and SiO 2 at 150 °C in ambient air, in 2010 Proceedings 60th Electronic Components and Technology Conference (ECTC) (IEEE, Las Vegas, NV, USA, 2010), pp. 872–877
62.
Zurück zum Zitat Q.-Y. Tong, J.G.G. Fountain, P.M. Enquist, Method for low temperature bonding and bonded structure. US Patent 6,902,987, 2005 Q.-Y. Tong, J.G.G. Fountain, P.M. Enquist, Method for low temperature bonding and bonded structure. US Patent 6,902,987, 2005
63.
Zurück zum Zitat C. Sanders, Continued adoption of low temperature direct bond technology for high volume 3D commercial applications. 3D Architectures for Semiconductor Integration and Packaging (3D ASIP) (2012) C. Sanders, Continued adoption of low temperature direct bond technology for high volume 3D commercial applications. 3D Architectures for Semiconductor Integration and Packaging (3D ASIP) (2012)
65.
Zurück zum Zitat C. Rauer, H. Moriceau, F. Fournel, A.M. Charvet, C. Morales, N. Rochat, L. Vandroux, F. Rieutord, T. McCormick, I. Radu, Treatments of deposited SiOx surfaces enabling low temperature direct bonding. ECS J. Solid State Sci. Technol. 2, Q147–Q150 (2013). https://doi.org/10.1149/2.004309jssCrossRef C. Rauer, H. Moriceau, F. Fournel, A.M. Charvet, C. Morales, N. Rochat, L. Vandroux, F. Rieutord, T. McCormick, I. Radu, Treatments of deposited SiOx surfaces enabling low temperature direct bonding. ECS J. Solid State Sci. Technol. 2, Q147–Q150 (2013). https://​doi.​org/​10.​1149/​2.​004309jssCrossRef
70.
Zurück zum Zitat S. Lhostis, A. Farcy, E. Deloffre, F. Lorut, S. Mermoz, Y. Henrion, L. Berthier, F. Bailly, D. Scevola, F. Guyader, F. Gigon, C. Besset, S. Pellissier, L. Gay, N. Hotellier, M. Arnoux, A.-L. Le Berrigo, S. Moreau, V. Balan, F. Fournel, A. Jouve, S. Chéramy, B. Rebhan, G.A. Maier, L. Chitu, Reliable 300 mm wafer level hybrid bonding for 3D stacked CMOS image sensors. In 2016 IEEE 66th Electronic Components and Technology Conference (ECTC) (IEEE, 2016), pp. 869–876 S. Lhostis, A. Farcy, E. Deloffre, F. Lorut, S. Mermoz, Y. Henrion, L. Berthier, F. Bailly, D. Scevola, F. Guyader, F. Gigon, C. Besset, S. Pellissier, L. Gay, N. Hotellier, M. Arnoux, A.-L. Le Berrigo, S. Moreau, V. Balan, F. Fournel, A. Jouve, S. Chéramy, B. Rebhan, G.A. Maier, L. Chitu, Reliable 300 mm wafer level hybrid bonding for 3D stacked CMOS image sensors. In 2016 IEEE 66th Electronic Components and Technology Conference (ECTC) (IEEE, 2016), pp. 869–876
72.
Zurück zum Zitat F. Liu, R.R. Yu, A.M. Young, J.P. Doyle, X. Wang, L. Shi, K.N. Chen, X. Li, D.A. Dipaola, D. Brown, C.T. Ryan, A 300-mm wafer-level three-dimensional integration scheme using tungsten through-silicon via and hybrid Cu-adhesive bonding, in 2008 IEEE International Electron Devices Meeting (IEEE, 2008), pp. 1–4 F. Liu, R.R. Yu, A.M. Young, J.P. Doyle, X. Wang, L. Shi, K.N. Chen, X. Li, D.A. Dipaola, D. Brown, C.T. Ryan, A 300-mm wafer-level three-dimensional integration scheme using tungsten through-silicon via and hybrid Cu-adhesive bonding, in 2008 IEEE International Electron Devices Meeting (IEEE, 2008), pp. 1–4
73.
Zurück zum Zitat J.J. McMahon, E. Chan, S.H. Lee, R.J. Gutmann, J.Q. Lu, Bonding interfaces in wafer-level metal/adhesive bonded 3D integration, in 2008 58th Electronic Components and Technology Conference (IEEE, 2008), pp. 871–878 J.J. McMahon, E. Chan, S.H. Lee, R.J. Gutmann, J.Q. Lu, Bonding interfaces in wafer-level metal/adhesive bonded 3D integration, in 2008 58th Electronic Components and Technology Conference (IEEE, 2008), pp. 871–878
74.
Zurück zum Zitat Hozawa K, Aoki M, Furuta F, Takeda K, Yanagisawa A, Kikuchi H, Mitsuhashi T, Kobayashi H (2013) 3D Integration Technology using Hybrid Wafer Bonding and its Electrical Characteristics. In: 13th Int. Symp. Electron. Packag. ICEP2013. Osaka, Japan, pp 118–122 Hozawa K, Aoki M, Furuta F, Takeda K, Yanagisawa A, Kikuchi H, Mitsuhashi T, Kobayashi H (2013) 3D Integration Technology using Hybrid Wafer Bonding and its Electrical Characteristics. In: 13th Int. Symp. Electron. Packag. ICEP2013. Osaka, Japan, pp 118–122
75.
Zurück zum Zitat J.J. McMahon, J.Q. Lu, R.J. Gutmann, Wafer bonding of damascene-patterned metal/adhesive redistribution layers for via-first three-dimensional (3D) interconnect, in 55th Proceedings Electronic Components and Technology, 2005. ECTC’05. (IEEE, 2005), pp. 331–336 J.J. McMahon, J.Q. Lu, R.J. Gutmann, Wafer bonding of damascene-patterned metal/adhesive redistribution layers for via-first three-dimensional (3D) interconnect, in 55th Proceedings Electronic Components and Technology, 2005. ECTC’05. (IEEE, 2005), pp. 331–336
76.
Zurück zum Zitat Z.-C. Hsiao, C.-T. Ko, H.-H. Chang, H.-C. Fu, C.-W. Chiang, C.-K. Hsu, W.-W. Shen, W.-C. Lo, Cu/BCB Hybrid Bonding With TSV for 3D Integration by Using Fly-Cutting Technology (IEEE, Kyoto, Japan, 2015), pp. 834–837 Z.-C. Hsiao, C.-T. Ko, H.-H. Chang, H.-C. Fu, C.-W. Chiang, C.-K. Hsu, W.-W. Shen, W.-C. Lo, Cu/BCB Hybrid Bonding With TSV for 3D Integration by Using Fly-Cutting Technology (IEEE, Kyoto, Japan, 2015), pp. 834–837
77.
Zurück zum Zitat T. Sakai, N. Imaizumi, S. Sakuyama, Hybrid bonding technology with Cu–Cu/adhesives for high density 2.5D/3D integration. (IEEE, Big Island, HI, 2016), pp 1–6 T. Sakai, N. Imaizumi, S. Sakuyama, Hybrid bonding technology with Cu–Cu/adhesives for high density 2.5D/3D integration. (IEEE, Big Island, HI, 2016), pp 1–6
78.
Zurück zum Zitat R. He, T. Suga, Effects of Ar plasma and Ar fast atom bombardment (FAB) treatments on Cu/polymer hybrid surface for wafer bonding, in 2014 International Conference on Electronics Packaging (ICEP) (IEEE, 2014), pp. 78–81 R. He, T. Suga, Effects of Ar plasma and Ar fast atom bombardment (FAB) treatments on Cu/polymer hybrid surface for wafer bonding, in 2014 International Conference on Electronics Packaging (ICEP) (IEEE, 2014), pp. 78–81
79.
Zurück zum Zitat C. Okoro, R. Agarwal, P. Limaye, B. Vandevelde, D. Vandepitte, E. Beyne, Insertion bonding: a novel Cu-Cu bonding approach for 3D integration, in 2010 Proceedings 60th Electronic Components and Technology Conference (ECTC) (IEEE, 2010), pp. 1370–1375 C. Okoro, R. Agarwal, P. Limaye, B. Vandevelde, D. Vandepitte, E. Beyne, Insertion bonding: a novel Cu-Cu bonding approach for 3D integration, in 2010 Proceedings 60th Electronic Components and Technology Conference (ECTC) (IEEE, 2010), pp. 1370–1375
83.
Zurück zum Zitat F. Inoue, J. Bertheau, S. Suhard, A. Phommahaxay, T. Ohashi, T. Kinoshita, Y. Kinoshita, E. Beyne, Protective layer for collective die to wafer hybrid bonding, in 2019 International 3D Systems Integration Conference (3DIC) (IEEE, 2019), pp. 1–4 F. Inoue, J. Bertheau, S. Suhard, A. Phommahaxay, T. Ohashi, T. Kinoshita, Y. Kinoshita, E. Beyne, Protective layer for collective die to wafer hybrid bonding, in 2019 International 3D Systems Integration Conference (3DIC) (IEEE, 2019), pp. 1–4
84.
Zurück zum Zitat G. Vakanas, O. Minho, B. Dimcic, K. Vanstreels, B. Vandecasteele, I. De Preter, J. Derakhshandeh, K. Rebibis, M. Kajihara, I. De Wolf, E. Beyne, Formation, processing and characterization of Co–Sn intermetallic compounds for potential integration in 3D interconnects. Microelectron. Eng. 1(140), 72–80 (2015)CrossRef G. Vakanas, O. Minho, B. Dimcic, K. Vanstreels, B. Vandecasteele, I. De Preter, J. Derakhshandeh, K. Rebibis, M. Kajihara, I. De Wolf, E. Beyne, Formation, processing and characterization of Co–Sn intermetallic compounds for potential integration in 3D interconnects. Microelectron. Eng. 1(140), 72–80 (2015)CrossRef
86.
Zurück zum Zitat K. Banerjee et al., (UCSB), CMOS-compatible graphene, (IEEE IEDM (International Electron Devices Meeting), 2018) K. Banerjee et al., (UCSB), CMOS-compatible graphene, (IEEE IEDM (International Electron Devices Meeting), 2018)
Metadaten
Titel
Direct Cu to Cu Bonding and Alternative Bonding Techniques in 3D Packaging
verfasst von
Tadatomo Suga
Ran He
George Vakanas
Antonio La Manna
Copyright-Jahr
2021
Verlag
Springer Singapore
DOI
https://doi.org/10.1007/978-981-15-7090-2_8

Neuer Inhalt