Skip to main content
Erschienen in:
Buchtitelbild

2020 | OriginalPaper | Buchkapitel

1. Effects of Transient Faults in Integrated Circuits

verfasst von : Rodrigo Possamai Bastos, Frank Sill Torres

Erschienen in: On-Chip Current Sensors for Reliable, Secure, and Low-Power Integrated Circuits

Verlag: Springer International Publishing

Aktivieren Sie unsere intelligente Suche, um passende Fachinhalte oder Patente zu finden.

search-config
loading …

Abstract

In the context of reliable and secure integrated circuit (IC) system applications, this chapter generally discusses the effects of transient faults induced by environmental and intentional perturbation sources. The first section briefly analyzes the transient faults induced by environmental perturbation events during the IC lifetime, and the next section synthesizes the consequences of transient faults due to intentional perturbation events, which indeed create very similar transient voltage modifications in IC systems. Furthermore, the electrical-level effects of transient faults in ICs and the consequent failures arisen from the different types of transient-fault effects on synchronous circuits (clocked systems) and asynchronous circuits (clockless systems) are also detailed in the following sections.

Sie haben noch keine Lizenz? Dann Informieren Sie sich jetzt über unsere Produkte:

Springer Professional "Wirtschaft+Technik"

Online-Abonnement

Mit Springer Professional "Wirtschaft+Technik" erhalten Sie Zugriff auf:

  • über 102.000 Bücher
  • über 537 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Maschinenbau + Werkstoffe
  • Versicherung + Risiko

Jetzt Wissensvorsprung sichern!

Springer Professional "Technik"

Online-Abonnement

Mit Springer Professional "Technik" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 390 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Maschinenbau + Werkstoffe




 

Jetzt Wissensvorsprung sichern!

Literatur
2.
Zurück zum Zitat Abramovici, M., Breuer, M. A., & Friedman, A. D. (1994). Digital systems testing and testable design. Hoboken: Wiley.CrossRef Abramovici, M., Breuer, M. A., & Friedman, A. D. (1994). Digital systems testing and testable design. Hoboken: Wiley.CrossRef
11.
Zurück zum Zitat Barenghi, A., Breveglieri, L., Koren, I., & Naccache, D. (2012). Fault injection attacks on cryptographic devices: Theory, practice, and countermeasures. Proceedings of the IEEE 100(11), 3056–3076.CrossRef Barenghi, A., Breveglieri, L., Koren, I., & Naccache, D. (2012). Fault injection attacks on cryptographic devices: Theory, practice, and countermeasures. Proceedings of the IEEE 100(11), 3056–3076.CrossRef
13.
Zurück zum Zitat Baumann, R. C. (2005). Radiation-induced soft errors in advanced semiconductor technologies. IEEE Transactions on Device and Materials Reliability 5(3), 305–316.CrossRef Baumann, R. C. (2005). Radiation-induced soft errors in advanced semiconductor technologies. IEEE Transactions on Device and Materials Reliability 5(3), 305–316.CrossRef
18.
Zurück zum Zitat Borkar, S. (2005). Designing reliable systems from unreliable components: The challenges of transistor variability and degradation. IEEE Micro, 25(6), 10–16.CrossRef Borkar, S. (2005). Designing reliable systems from unreliable components: The challenges of transistor variability and degradation. IEEE Micro, 25(6), 10–16.CrossRef
25.
Zurück zum Zitat Buchner, S. P., Miller, F., Pouget, V., & McMorrow, D. P. (2013). Pulsed-laser testing for single-event effects investigations. IEEE Transactions on Nuclear Science, 60(3), 1852–1875.CrossRef Buchner, S. P., Miller, F., Pouget, V., & McMorrow, D. P. (2013). Pulsed-laser testing for single-event effects investigations. IEEE Transactions on Nuclear Science, 60(3), 1852–1875.CrossRef
32.
Zurück zum Zitat Cha, H., & Patel, J. H. (1993). A logic-level model for /spl alpha/-particle hits in CMOS circuits. In Proceedings of 1993 IEEE International Conference on Computer Design ICCD’93 ( pp. 538–542). Cha, H., & Patel, J. H. (1993). A logic-level model for /spl alpha/-particle hits in CMOS circuits. In Proceedings of 1993 IEEE International Conference on Computer Design ICCD’93 ( pp. 538–542).
40.
Zurück zum Zitat Constantinescu, C. (2005). Neutron SER characterization of microprocessors. In 2005 International Conference on Dependable Systems and Networks (DSN’05) (pp. 754–759). Constantinescu, C. (2005). Neutron SER characterization of microprocessors. In 2005 International Conference on Dependable Systems and Networks (DSN’05) (pp. 754–759).
49.
Zurück zum Zitat Dodd, P. E., Shaneyfelt, M. R., Felix, J. A., & Schwank, J. R. (2004). Production and propagation of single-event transients in high-speed digital logic ICs. IEEE Transactions on Nuclear Science, 51(6), 3278–3284.CrossRef Dodd, P. E., Shaneyfelt, M. R., Felix, J. A., & Schwank, J. R. (2004). Production and propagation of single-event transients in high-speed digital logic ICs. IEEE Transactions on Nuclear Science, 51(6), 3278–3284.CrossRef
54.
Zurück zum Zitat Ferlet-Cavrois, V., Paillet, P., Gaillardin, M., Lambert, D., Baggio, J., Schwank, J. R., et al. (2006). Statistical analysis of the charge collected in soi and bulk devices under heavy lon and proton irradiation—implications for digital sets. IEEE Transactions on Nuclear Science, 53(6), 3242–3252.CrossRef Ferlet-Cavrois, V., Paillet, P., Gaillardin, M., Lambert, D., Baggio, J., Schwank, J. R., et al. (2006). Statistical analysis of the charge collected in soi and bulk devices under heavy lon and proton irradiation—implications for digital sets. IEEE Transactions on Nuclear Science, 53(6), 3242–3252.CrossRef
59.
Zurück zum Zitat Gaisler, J. (1997). Evaluation of a 32-bit microprocessor with built-in concurrent error-detection. In Proceedings of IEEE 27th International Symposium on Fault Tolerant Computing (pp. 42–46). Gaisler, J. (1997). Evaluation of a 32-bit microprocessor with built-in concurrent error-detection. In Proceedings of IEEE 27th International Symposium on Fault Tolerant Computing (pp. 42–46).
62.
Zurück zum Zitat Godlewski, C., Pouget, V., Lewis, D., & Lisart, M. (2009). Electrical modeling of the effect of beam profile for pulsed laser fault injection. Microelectronics Reliability, 49(9), 1143–1147.CrossRef Godlewski, C., Pouget, V., Lewis, D., & Lisart, M. (2009). Electrical modeling of the effect of beam profile for pulsed laser fault injection. Microelectronics Reliability, 49(9), 1143–1147.CrossRef
64.
Zurück zum Zitat Granlund, T., Granbom, B., & Olsson, N. (2003). Soft error rate increase for new generations of SRAMs. IEEE Transactions on Nuclear Science, 50(6), 2065–2068.CrossRef Granlund, T., Granbom, B., & Olsson, N. (2003). Soft error rate increase for new generations of SRAMs. IEEE Transactions on Nuclear Science, 50(6), 2065–2068.CrossRef
69.
Zurück zum Zitat Habing, D. H. (1965). The use of lasers to simulate radiation-induced transients in semiconductor devices and circuits. IEEE Transactions on Nuclear Science, 12(5), 91–100.CrossRef Habing, D. H. (1965). The use of lasers to simulate radiation-induced transients in semiconductor devices and circuits. IEEE Transactions on Nuclear Science, 12(5), 91–100.CrossRef
73.
Zurück zum Zitat Harada, R., Mitsuyama, Y., Hashimoto, M., & Onoye, T. (2011). Neutron induced single event multiple transients with voltage scaling and body biasing. In 2011 International Reliability Physics Symposium (pp. 3C.4.1–3C.4.5). Harada, R., Mitsuyama, Y., Hashimoto, M., & Onoye, T. (2011). Neutron induced single event multiple transients with voltage scaling and body biasing. In 2011 International Reliability Physics Symposium (pp. 3C.4.1–3C.4.5).
74.
Zurück zum Zitat Hazucha, P., Karnik, T., Maiz, J., Walstra, S., Bloechel, B., Tschanz, J., et al. (2003). Neutron soft error rate measurements in a 90-nm CMOS process and scaling trends in SRAM from 0.25-/spl mu/m to 90-nm generation. In IEEE International Electron Devices Meeting 2003 (pp. 21.5.1–21.5.4). Hazucha, P., Karnik, T., Maiz, J., Walstra, S., Bloechel, B., Tschanz, J., et al. (2003). Neutron soft error rate measurements in a 90-nm CMOS process and scaling trends in SRAM from 0.25-/spl mu/m to 90-nm generation. In IEEE International Electron Devices Meeting 2003 (pp. 21.5.1–21.5.4).
77.
Zurück zum Zitat Hsieh, C. M., Murley, P. C., & O’Brien, R. R. (1981). A field-funneling effect on the collection of alpha-particle-generated carriers in silicon devices. IEEE Electron Device Letters, 2(4), 103–105.CrossRef Hsieh, C. M., Murley, P. C., & O’Brien, R. R. (1981). A field-funneling effect on the collection of alpha-particle-generated carriers in silicon devices. IEEE Electron Device Letters, 2(4), 103–105.CrossRef
83.
Zurück zum Zitat Johnston, A. H. (1993). Charge generation and collection in pn junctions excited with pulsed infrared lasers. IEEE Transactions on Nuclear Science, 40(6), 1694–1702.CrossRef Johnston, A. H. (1993). Charge generation and collection in pn junctions excited with pulsed infrared lasers. IEEE Transactions on Nuclear Science, 40(6), 1694–1702.CrossRef
86.
Zurück zum Zitat Karnik, T., & Hazucha, P. (2004). Characterization of soft errors caused by single event upsets in CMOS processes. IEEE Transactions on Dependable and Secure Computing, 1(2), 128–143.CrossRef Karnik, T., & Hazucha, P. (2004). Characterization of soft errors caused by single event upsets in CMOS processes. IEEE Transactions on Dependable and Secure Computing, 1(2), 128–143.CrossRef
89.
Zurück zum Zitat Kastensmidt, F. G. d. L. (2003). Designing single event upset mitigation techniques for large SRAM-Based FPGA components. PhD thesis, PPGC, Instituto de Informática, UFRGS. Kastensmidt, F. G. d. L. (2003). Designing single event upset mitigation techniques for large SRAM-Based FPGA components. PhD thesis, PPGC, Instituto de Informática, UFRGS.
90.
Zurück zum Zitat Kastensmidt, F. L., & Reis, R. (2006). Fault-tolerance techniques for SRAM-based FPGAs. Frontiers in electronic testing. New York, NY: Springer. Kastensmidt, F. L., & Reis, R. (2006). Fault-tolerance techniques for SRAM-based FPGAs. Frontiers in electronic testing. New York, NY: Springer.
93.
Zurück zum Zitat Kim, C. H., & Quisquater, J. J. (2007). Faults, injection methods, & fault attacks. IEEE Design Test of Computers, 24(6), 544–545.CrossRef Kim, C. H., & Quisquater, J. J. (2007). Faults, injection methods, & fault attacks. IEEE Design Test of Computers, 24(6), 544–545.CrossRef
95.
Zurück zum Zitat King, M. P., Reed, R. A., Weller, R. A., Mendenhall, M. H., Schrimpf, R. D., Sierawski, B. D., et al. (2013). Electron-induced single-event upsets in static random access memory. IEEE Transactions on Nuclear Science, 60(6), 4122–4129.CrossRef King, M. P., Reed, R. A., Weller, R. A., Mendenhall, M. H., Schrimpf, R. D., Sierawski, B. D., et al. (2013). Electron-induced single-event upsets in static random access memory. IEEE Transactions on Nuclear Science, 60(6), 4122–4129.CrossRef
97.
Zurück zum Zitat Krishnamohan, S., & Mahapatra, N. R. (2004). A highly-efficient technique for reducing soft errors in static CMOS circuits. In IEEE International Conference on Computer Design: VLSI in Computers and Processors, 2004. ICCD 2004. Proceedings. (pp. 126–131). Krishnamohan, S., & Mahapatra, N. R. (2004). A highly-efficient technique for reducing soft errors in static CMOS circuits. In IEEE International Conference on Computer Design: VLSI in Computers and Processors, 2004. ICCD 2004. Proceedings. (pp. 126–131).
102.
Zurück zum Zitat LaBel, K. A., Barnes, C. E., Marshall, P. W., Marshall, C. J., Johnston, A. H., Reed, R. A., et al. (2000). A roadmap for NASA’s radiation effects research in emerging microelectronics and photonics. In 2000 IEEE Aerospace Conference. Proceedings (Cat. No.00TH8484) (Vol. 5, pp. 535–545). LaBel, K. A., Barnes, C. E., Marshall, P. W., Marshall, C. J., Johnston, A. H., Reed, R. A., et al. (2000). A roadmap for NASA’s radiation effects research in emerging microelectronics and photonics. In 2000 IEEE Aerospace Conference. Proceedings (Cat. No.00TH8484) (Vol. 5, pp. 535–545).
103.
Zurück zum Zitat LaBel, K. A., Gates, M. M., Moran, A. K., Marshall, P. W., Barth, J., Stassinopoulos, E. G., et al. (1996). Commercial microelectronics technologies for applications in the satellite radiation environment. In 1996 IEEE Aerospace Applications Conference. Proceedings (Vol. 1, pp. 375–390). LaBel, K. A., Gates, M. M., Moran, A. K., Marshall, P. W., Barth, J., Stassinopoulos, E. G., et al. (1996). Commercial microelectronics technologies for applications in the satellite radiation environment. In 1996 IEEE Aerospace Applications Conference. Proceedings (Vol. 1, pp. 375–390).
105.
Zurück zum Zitat Laprie, J. (1995). Dependability of computer systems: Concepts, limits, improvements. In Proceedings of Sixth International Symposium on Software Reliability Engineering. ISSRE’95 (pp. 2–11). Laprie, J. (1995). Dependability of computer systems: Concepts, limits, improvements. In Proceedings of Sixth International Symposium on Software Reliability Engineering. ISSRE’95 (pp. 2–11).
109.
Zurück zum Zitat Liden, P., Dahlgren, P., Johansson, R., & Karlsson, J. (1994). On latching probability of particle induced transients in combinational networks. In Proceedings of IEEE 24th International Symposium on Fault- Tolerant Computing (pp. 340–349). Liden, P., Dahlgren, P., Johansson, R., & Karlsson, J. (1994). On latching probability of particle induced transients in combinational networks. In Proceedings of IEEE 24th International Symposium on Fault- Tolerant Computing (pp. 340–349).
110.
Zurück zum Zitat Lima, F. G. d., Cota, E., Carro, L., Lubaszewski, M., Reis, R., Velazco, R., et al. (2000). Designing a radiation hardened 8051-like micro-controller. In Proceedings 13th Symposium on Integrated Circuits and Systems Design (Cat. No.PR00843) (pp. 255–260). Lima, F. G. d., Cota, E., Carro, L., Lubaszewski, M., Reis, R., Velazco, R., et al. (2000). Designing a radiation hardened 8051-like micro-controller. In Proceedings 13th Symposium on Integrated Circuits and Systems Design (Cat. No.PR00843) (pp. 255–260).
112.
Zurück zum Zitat Lisboa, C. A., Kastensmidt, F. L., Neto, E. H., Wirht, G., & Carro, L. (2007). Using built-in sensors to cope with long duration transient faults in future technologies. In 2007 IEEE International Test Conference (pp. 1–10). Lisboa, C. A., Kastensmidt, F. L., Neto, E. H., Wirht, G., & Carro, L. (2007). Using built-in sensors to cope with long duration transient faults in future technologies. In 2007 IEEE International Test Conference (pp. 1–10).
113.
Zurück zum Zitat Lisboa, C. A. L. (2009). Dealing with radiation induced long duration transient faults in future technologies. PhD thesis, PPGC, Instituto de Informática, UFRGS. Lisboa, C. A. L. (2009). Dealing with radiation induced long duration transient faults in future technologies. PhD thesis, PPGC, Instituto de Informática, UFRGS.
116.
Zurück zum Zitat Lu, F., Natale, G. D., Flottes, M. L., Rouzeyre, B., & Hubert, G. (2014). Layout-aware laser fault injection simulation and modeling: From physical level to gate level. In 2014 9th IEEE International Conference on Design Technology of Integrated Systems in Nanoscale Era (DTIS) (pp. 1–6). Lu, F., Natale, G. D., Flottes, M. L., Rouzeyre, B., & Hubert, G. (2014). Layout-aware laser fault injection simulation and modeling: From physical level to gate level. In 2014 9th IEEE International Conference on Design Technology of Integrated Systems in Nanoscale Era (DTIS) (pp. 1–6).
120.
Zurück zum Zitat Maheshwari, A., Koren, I., & Burleson, N. (2003). Techniques for transient fault sensitivity analysis and reduction in VLSI circuits. In Proceedings 18th IEEE Symposium on Defect and Fault Tolerance in VLSI Systems (pp. 597–604). Maheshwari, A., Koren, I., & Burleson, N. (2003). Techniques for transient fault sensitivity analysis and reduction in VLSI circuits. In Proceedings 18th IEEE Symposium on Defect and Fault Tolerance in VLSI Systems (pp. 597–604).
121.
Zurück zum Zitat Maiz, J., Hareland, S., Zhang, K., & Armstrong, P. (2003). Characterization of multi-bit soft error events in advanced SRAMs. In IEEE International Electron Devices Meeting 2003 (pp. 21.4.1–21.4.4). Maiz, J., Hareland, S., Zhang, K., & Armstrong, P. (2003). Characterization of multi-bit soft error events in advanced SRAMs. In IEEE International Electron Devices Meeting 2003 (pp. 21.4.1–21.4.4).
124.
Zurück zum Zitat Martin, A. J. (1990). The limitations to delay-insensitivity in asynchronous circuits. In Beauty Is Our Business: A Birthday Salute to Edsger W. Dijkstra. W. H. J. Feijen, A. J. M. van Gasteren, D. Gries, & J. Misra (Eds.), Texts and Monographs in Computer Science (pp. 302–311). New York, NY: Springer. Martin, A. J. (1990). The limitations to delay-insensitivity in asynchronous circuits. In Beauty Is Our Business: A Birthday Salute to Edsger W. Dijkstra. W. H. J. Feijen, A. J. M. van Gasteren, D. Gries, & J. Misra (Eds.), Texts and Monographs in Computer Science (pp. 302–311). New York, NY: Springer.
125.
Zurück zum Zitat Massengill, L. W., Baranski, A. E., Nort, D. O. V., Meng, J., & Bhuva, B. L. (2000). Analysis of single-event effects in combinational logic-simulation of the AM2901 bitslice processor. IEEE Transactions on Nuclear Science, 47(6), 2609–2615.CrossRef Massengill, L. W., Baranski, A. E., Nort, D. O. V., Meng, J., & Bhuva, B. L. (2000). Analysis of single-event effects in combinational logic-simulation of the AM2901 bitslice processor. IEEE Transactions on Nuclear Science, 47(6), 2609–2615.CrossRef
131.
Zurück zum Zitat May, T. C., & Woods, M. H. (1979). Alpha-particle-induced soft errors in dynamic memories. IEEE Transactions on Electron Devices, 26(1), 2–9.CrossRef May, T. C., & Woods, M. H. (1979). Alpha-particle-induced soft errors in dynamic memories. IEEE Transactions on Electron Devices, 26(1), 2–9.CrossRef
137.
Zurück zum Zitat Messenger, G. C. (1982). Collection of charge on junction nodes from Ion tracks. IEEE Transactions on Nuclear Science, 29(6), 2024–2031.CrossRef Messenger, G. C. (1982). Collection of charge on junction nodes from Ion tracks. IEEE Transactions on Nuclear Science, 29(6), 2024–2031.CrossRef
141.
Zurück zum Zitat Monnet, Y. (2007). Etude et modélisation de circuits résistants aux attaques non intrusives par injection de fautes. PhD thesis, Institut National Polytechnique de Grenoble - INPG. Monnet, Y. (2007). Etude et modélisation de circuits résistants aux attaques non intrusives par injection de fautes. PhD thesis, Institut National Polytechnique de Grenoble - INPG.
142.
Zurück zum Zitat Monnet, Y., Renaudin, M., & Leveugle, R. (2005). Hardening techniques against transient faults for asynchronous circuits. In 11th IEEE International On-Line Testing Symposium (pp. 129–134). Monnet, Y., Renaudin, M., & Leveugle, R. (2005). Hardening techniques against transient faults for asynchronous circuits. In 11th IEEE International On-Line Testing Symposium (pp. 129–134).
143.
Zurück zum Zitat Monnet, Y., Renaudin, M., & Leveugle, R. (2006). Designing resistant circuits against malicious faults injection using asynchronous logic. IEEE Transactions on Computers, 55(9), 1104–1115.CrossRef Monnet, Y., Renaudin, M., & Leveugle, R. (2006). Designing resistant circuits against malicious faults injection using asynchronous logic. IEEE Transactions on Computers, 55(9), 1104–1115.CrossRef
145.
Zurück zum Zitat Murley, A. P. C., & O’Brien, R. R. (1983). Collection of charge from alpha-particle tracks in silicon devices. IEEE Transactions on Electron Devices, 30(6), 686–693.CrossRef Murley, A. P. C., & O’Brien, R. R. (1983). Collection of charge from alpha-particle tracks in silicon devices. IEEE Transactions on Electron Devices, 30(6), 686–693.CrossRef
155.
Zurück zum Zitat Neto, E. H., Ribeiro, I., Vieira, M., Wirth, G., & Kastensmidt, F. L. (2006). Using bulk built-in current sensors to detect soft errors. IEEE Micro, 26(5), 10–18.CrossRef Neto, E. H., Ribeiro, I., Vieira, M., Wirth, G., & Kastensmidt, F. L. (2006). Using bulk built-in current sensors to detect soft errors. IEEE Micro, 26(5), 10–18.CrossRef
156.
Zurück zum Zitat Neuberger, G., de Lima, F., Carro, L., & Reis, R. (2003). A multiple bit upset tolerant SRAM memory. ACM Transactions on Design Automation of Electronic Systems, 8(4), 577–590.CrossRef Neuberger, G., de Lima, F., Carro, L., & Reis, R. (2003). A multiple bit upset tolerant SRAM memory. ACM Transactions on Design Automation of Electronic Systems, 8(4), 577–590.CrossRef
158.
Zurück zum Zitat Nicolaidis, M. (1999). Time redundancy based soft-error tolerance to rescue nanometer technologies. In Proceedings 17th IEEE VLSI Test Symposium (Cat. No.PR00146) (pp. 86–94). Nicolaidis, M. (1999). Time redundancy based soft-error tolerance to rescue nanometer technologies. In Proceedings 17th IEEE VLSI Test Symposium (Cat. No.PR00146) (pp. 86–94).
161.
Zurück zum Zitat Normand, E. (1996). Single-event effects in avionics. IEEE Transactions on Nuclear Science, 43(2), 461–474.CrossRef Normand, E. (1996). Single-event effects in avionics. IEEE Transactions on Nuclear Science, 43(2), 461–474.CrossRef
162.
Zurück zum Zitat Normand, E. (1996). Single event upset at ground level. IEEE Transactions on Nuclear Science, 43(6), 2742–2750.CrossRef Normand, E. (1996). Single event upset at ground level. IEEE Transactions on Nuclear Science, 43(6), 2742–2750.CrossRef
163.
Zurück zum Zitat Normand, E. (2001). Correlation of inflight neutron dosimeter and SEU measurements with atmospheric neutron model. IEEE Transactions on Nuclear Science, 48(6), 1996–2003.CrossRef Normand, E. (2001). Correlation of inflight neutron dosimeter and SEU measurements with atmospheric neutron model. IEEE Transactions on Nuclear Science, 48(6), 1996–2003.CrossRef
165.
Zurück zum Zitat Normand, E., Oberg, D. L., Wert, J. L., Ness, J. D., Majewski, P. P., Wender, S., et al. (1994). Single event upset and charge collection measurements using high energy protons and neutrons. IEEE Transactions on Nuclear Science, 41(6), 2203–2209.CrossRef Normand, E., Oberg, D. L., Wert, J. L., Ness, J. D., Majewski, P. P., Wender, S., et al. (1994). Single event upset and charge collection measurements using high energy protons and neutrons. IEEE Transactions on Nuclear Science, 41(6), 2203–2209.CrossRef
168.
Zurück zum Zitat O’Bryan, M. V., LaBel, K. A., Reed, R. A., Barth, J. L., Seidleck, C. M., Marshall, P., et al. (1998). Single event effect and radiation damage results for candidate spacecraft electronics. In 1998 IEEE Radiation Effects Data Workshop. NSREC 98. Workshop Record. Held in conjunction with IEEE Nuclear and Space Radiation Effects Conference (Cat. No.98TH8385) (pp. 39–50). O’Bryan, M. V., LaBel, K. A., Reed, R. A., Barth, J. L., Seidleck, C. M., Marshall, P., et al. (1998). Single event effect and radiation damage results for candidate spacecraft electronics. In 1998 IEEE Radiation Effects Data Workshop. NSREC 98. Workshop Record. Held in conjunction with IEEE Nuclear and Space Radiation Effects Conference (Cat. No.98TH8385) (pp. 39–50).
178.
Zurück zum Zitat Possamai Bastos, R. (2010). Transient-fault robust systems exploiting quasi-delay insensitive asynchronous circuits. PhD thesis, Institut National Polytechnique de Grenoble - INPG. Possamai Bastos, R. (2010). Transient-fault robust systems exploiting quasi-delay insensitive asynchronous circuits. PhD thesis, Institut National Polytechnique de Grenoble - INPG.
179.
Zurück zum Zitat Possamai Bastos, R. (2010). Transient-fault robust systems exploiting quasi-delay insensitive asynchronous circuits. PhD thesis, PGMICRO, Instituto de Informática, UFRGS. Possamai Bastos, R. (2010). Transient-fault robust systems exploiting quasi-delay insensitive asynchronous circuits. PhD thesis, PGMICRO, Instituto de Informática, UFRGS.
188.
Zurück zum Zitat Possamai Bastos, R., Sicard, G., Kastensmidt, F., Renaudin, M., & Reis, R. (2010). Asynchronous circuits as alternative for mitigation of long-duration transient faults in deep-submicron technologies. Microelectronics Reliability, 50(9), 1241–1246.CrossRef Possamai Bastos, R., Sicard, G., Kastensmidt, F., Renaudin, M., & Reis, R. (2010). Asynchronous circuits as alternative for mitigation of long-duration transient faults in deep-submicron technologies. Microelectronics Reliability, 50(9), 1241–1246.CrossRef
192.
Zurück zum Zitat Renaudin, M., Bouesse, F., Proust, P., Tual, J. P., Sourgen, L., & Germain, F. (2004). High security smartcards. In Automation and Test in Europe Conference and Exhibition Proceedings Design (Vol. 1, pp. 228–232). Renaudin, M., Bouesse, F., Proust, P., Tual, J. P., Sourgen, L., & Germain, F. (2004). High security smartcards. In Automation and Test in Europe Conference and Exhibition Proceedings Design (Vol. 1, pp. 228–232).
194.
Zurück zum Zitat Rossi, D., Omana, M., Toma, F., & Metra, C. (2005). Multiple transient faults in logic: An issue for next generation ICs? In 20th IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems (DFT’05) (pp. 352–360). Rossi, D., Omana, M., Toma, F., & Metra, C. (2005). Multiple transient faults in logic: An issue for next generation ICs? In 20th IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems (DFT’05) (pp. 352–360).
204.
Zurück zum Zitat Shivakumar, P., Kistler, M., Keckler, S. W., Burger, D., & Alvisi, L. (2002). Modeling the effect of technology trends on the soft error rate of combinational logic. In Proceedings International Conference on Dependable Systems and Networks (pp. 389–398). Shivakumar, P., Kistler, M., Keckler, S. W., Burger, D., & Alvisi, L. (2002). Modeling the effect of technology trends on the soft error rate of combinational logic. In Proceedings International Conference on Dependable Systems and Networks (pp. 389–398).
222.
Zurück zum Zitat Srour, J. R., Marshall, C. J., & Marshall, P. W. (2003). Review of displacement damage effects in silicon devices. IEEE Transactions on Nuclear Science, 50(3), 653–670.CrossRef Srour, J. R., Marshall, C. J., & Marshall, P. W. (2003). Review of displacement damage effects in silicon devices. IEEE Transactions on Nuclear Science, 50(3), 653–670.CrossRef
223.
Zurück zum Zitat Stassinopoulos, E. G., & Raymond, J. P. (1988). The space radiation environment for electronics. Proceedings of the IEEE, 76(11), 1423–1442.CrossRef Stassinopoulos, E. G., & Raymond, J. P. (1988). The space radiation environment for electronics. Proceedings of the IEEE, 76(11), 1423–1442.CrossRef
228.
Zurück zum Zitat Tehranipoor, M., & Koushanfar, F. (2010). A survey of hardware Trojan taxonomy and detection. IEEE Design Test of Computers, 27(1), 10–25.CrossRef Tehranipoor, M., & Koushanfar, F. (2010). A survey of hardware Trojan taxonomy and detection. IEEE Design Test of Computers, 27(1), 10–25.CrossRef
229.
Zurück zum Zitat Tosaka, Y., Satoh, S., Itakura, T., Ehara, H., Ueda, T., Woffinden, G. A., et al. (1998). Measurement and analysis of neutron-induced soft errors in sub-half-micron CMOS circuits. IEEE Transactions on Electron Devices, 45(7), 1453–1458.CrossRef Tosaka, Y., Satoh, S., Itakura, T., Ehara, H., Ueda, T., Woffinden, G. A., et al. (1998). Measurement and analysis of neutron-induced soft errors in sub-half-micron CMOS circuits. IEEE Transactions on Electron Devices, 45(7), 1453–1458.CrossRef
237.
Zurück zum Zitat Viera, R. A., Dutertre, J.-M., Maurine, P., & Possamai Bastos, R. (2018). Standard CAD tool-based method for simulation of laser-induced faults in large-scale circuits. In Proceedings of the 2018 International Symposium on Physical Design ISPD ’18 (pp. 160–167). New York, NY: ACM.CrossRef Viera, R. A., Dutertre, J.-M., Maurine, P., & Possamai Bastos, R. (2018). Standard CAD tool-based method for simulation of laser-induced faults in large-scale circuits. In Proceedings of the 2018 International Symposium on Physical Design ISPD ’18 (pp. 160–167). New York, NY: ACM.CrossRef
239.
Zurück zum Zitat Viera, R. A. C., Dutertre, J. M., Flottes, M. L., Potin, O., Natale, G. D., Rouzeyre, B., et al. (2018). Assessing body built-in current sensors for detection of multiple transient faults. Microelectronics Reliability, 88–90, 128–134.CrossRef Viera, R. A. C., Dutertre, J. M., Flottes, M. L., Potin, O., Natale, G. D., Rouzeyre, B., et al. (2018). Assessing body built-in current sensors for detection of multiple transient faults. Microelectronics Reliability, 88–90, 128–134.CrossRef
240.
Zurück zum Zitat Viera, R. A. C., et al. (2015). Validation of single bbics architecture in detecting multiple faults. In Digest of Papers, IEEE Asian Test Symposium (ATS’15) (pp. 1–6). Viera, R. A. C., et al. (2015). Validation of single bbics architecture in detecting multiple faults. In Digest of Papers, IEEE Asian Test Symposium (ATS’15) (pp. 1–6).
243.
Zurück zum Zitat Wang, F., & Agrawal, V. D. (2008). Single event upset: An embedded tutorial. In 21st International Conference on VLSI Design (VLSID 2008) (pp. 429–434). Wang, F., & Agrawal, V. D. (2008). Single event upset: An embedded tutorial. In 21st International Conference on VLSI Design (VLSID 2008) (pp. 429–434).
249.
Zurück zum Zitat Wirth, J. L., & Rogers, S. C. (1964). The transient response of transistors and diodes to ionizing radiation. IEEE Transactions on Nuclear Science, 11(5), 24–38.CrossRef Wirth, J. L., & Rogers, S. C. (1964). The transient response of transistors and diodes to ionizing radiation. IEEE Transactions on Nuclear Science, 11(5), 24–38.CrossRef
65.
Zurück zum Zitat Normand, E., & Baker, T. J. (1993). Altitude and latitude variations in avionics SEU and atmospheric neutron flux. IEEE Transactions on Nuclear Science, 40(6), 1484–1490.CrossRef Normand, E., & Baker, T. J. (1993). Altitude and latitude variations in avionics SEU and atmospheric neutron flux. IEEE Transactions on Nuclear Science, 40(6), 1484–1490.CrossRef
Metadaten
Titel
Effects of Transient Faults in Integrated Circuits
verfasst von
Rodrigo Possamai Bastos
Frank Sill Torres
Copyright-Jahr
2020
DOI
https://doi.org/10.1007/978-3-030-29353-6_1

Neuer Inhalt