Skip to main content
Top

2018 | OriginalPaper | Chapter

A High Throughput Power-Efficient Optical Memory Subsystem for Kilo-Core Processor

Authors : Quanyou Feng, Chao Peng, Shuangyin Ren, Hongwei Zhou, Rangyu Deng

Published in: Computer Engineering and Technology

Publisher: Springer Singapore

Activate our intelligent search to find suitable subject content or patents.

search-config
loading …

Abstract

High throughput and power-efficient processor-memory communications are of great importance for kilo-core processor design. This paper proposes a hybrid photonic architecture for such communications. Bandwidth-efficient photonic burst switching is used for memory accesses between last-level HBM caches and off-chip HMC memory pools. Simulation results show that the hybrid network achieves up to 25% of system speedup and up to 10 times of energy savings, when compared to conventional electric interconnects.

Dont have a licence yet? Then find out more about our products and how to get one now:

Springer Professional "Wirtschaft+Technik"

Online-Abonnement

Mit Springer Professional "Wirtschaft+Technik" erhalten Sie Zugriff auf:

  • über 102.000 Bücher
  • über 537 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Maschinenbau + Werkstoffe
  • Versicherung + Risiko

Jetzt Wissensvorsprung sichern!

Springer Professional "Technik"

Online-Abonnement

Mit Springer Professional "Technik" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 390 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Maschinenbau + Werkstoffe




 

Jetzt Wissensvorsprung sichern!

Springer Professional "Wirtschaft"

Online-Abonnement

Mit Springer Professional "Wirtschaft" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 340 Zeitschriften

aus folgenden Fachgebieten:

  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Versicherung + Risiko




Jetzt Wissensvorsprung sichern!

Literature
1.
go back to reference Agerwala, T.: Exascale computing: the challenges and opportunities in the next decade. In: 16th Proceedings of the IEEE Symposium on HPCA, p. 1 (2010) Agerwala, T.: Exascale computing: the challenges and opportunities in the next decade. In: 16th Proceedings of the IEEE Symposium on HPCA, p. 1 (2010)
3.
go back to reference Zhang, C.: Mars: a 64-core ARMv8 processor. In: 27th Hot Chips (2015) Zhang, C.: Mars: a 64-core ARMv8 processor. In: 27th Hot Chips (2015)
4.
go back to reference Borkar, S.: Thousand core chips: a technology perspective. In: Proceedings of the 44th ACM/IEEE Design Automation Conference, pp. 746–749 (2007) Borkar, S.: Thousand core chips: a technology perspective. In: Proceedings of the 44th ACM/IEEE Design Automation Conference, pp. 746–749 (2007)
5.
go back to reference Sanchez, D., et al.: An analysis of on-chip interconnection networks for large-scale chip multiprocessors. ACM Trans. Archit. Code Optim. 7(1), 4 (2010)CrossRef Sanchez, D., et al.: An analysis of on-chip interconnection networks for large-scale chip multiprocessors. ACM Trans. Archit. Code Optim. 7(1), 4 (2010)CrossRef
8.
go back to reference Qiao, C.M., Yoo, M.S.: Optical burst switching (OBS) - a new paradigm for an optical internet. J. High Speed Netw. 8(1), 69–84 (1999) Qiao, C.M., Yoo, M.S.: Optical burst switching (OBS) - a new paradigm for an optical internet. J. High Speed Netw. 8(1), 69–84 (1999)
9.
go back to reference Shacham, A., Bergman, K., Carloni, L.P.: Photonic networks-on-chip for future generations of chip multiprocessors. IEEE Trans. Comput. 57(9), 1246–1260 (2008)MathSciNetCrossRef Shacham, A., Bergman, K., Carloni, L.P.: Photonic networks-on-chip for future generations of chip multiprocessors. IEEE Trans. Comput. 57(9), 1246–1260 (2008)MathSciNetCrossRef
10.
go back to reference Vantrease, D., et al.: Corona: system implications of emerging nanophotonic technology. In: Proceedings of the 35th International Symposium on Computer Architecture, pp. 153–164 (2008) Vantrease, D., et al.: Corona: system implications of emerging nanophotonic technology. In: Proceedings of the 35th International Symposium on Computer Architecture, pp. 153–164 (2008)
11.
go back to reference Hendry, G., et al.: Circuit-switched memory access in photonic interconnection networks for high-performance embedded computing. In: Proceedings of the International Conference for High Performance Computing, Networking, Storage and Analysis, pp. 1–12 (2010) Hendry, G., et al.: Circuit-switched memory access in photonic interconnection networks for high-performance embedded computing. In: Proceedings of the International Conference for High Performance Computing, Networking, Storage and Analysis, pp. 1–12 (2010)
12.
go back to reference Koyanagi, M., et al.: Three-dimensional integration technology and integrated systems. In: Proceedings of the Asia and South Pacific Design Automation Conference, pp. 409–415 (2009) Koyanagi, M., et al.: Three-dimensional integration technology and integrated systems. In: Proceedings of the Asia and South Pacific Design Automation Conference, pp. 409–415 (2009)
13.
go back to reference Poon, A.W., et al.: Cascaded active silicon microresonator array cross-connect circuits for WDM networks-on-chip. In: Proceedings of the SPIE International Society for Optical Engineering (2008) Poon, A.W., et al.: Cascaded active silicon microresonator array cross-connect circuits for WDM networks-on-chip. In: Proceedings of the SPIE International Society for Optical Engineering (2008)
14.
go back to reference Barwicz, T., et al.: Silicon photonics for compact, energy-efficient interconnects. J. Opt. Netw. 6(1), 63–73 (2007)CrossRef Barwicz, T., et al.: Silicon photonics for compact, energy-efficient interconnects. J. Opt. Netw. 6(1), 63–73 (2007)CrossRef
15.
go back to reference Rosenfeld, P.: Performance evaluation of the hybrid memory cube. Dissertation of the University of Maryland (2014) Rosenfeld, P.: Performance evaluation of the hybrid memory cube. Dissertation of the University of Maryland (2014)
16.
go back to reference Chan, J., et al.: Phoenixsim: a simulator for physical-layer analysis of chip-scale photonic interconnection networks. In: Proceedings on Design, Automation and Test in Europe (2010) Chan, J., et al.: Phoenixsim: a simulator for physical-layer analysis of chip-scale photonic interconnection networks. In: Proceedings on Design, Automation and Test in Europe (2010)
17.
go back to reference Kahng, A.B., et al.: Orion 2.0: a fast and accurate NoC power and area model for early-stage design space exploration. In: Proceedings on Design, Automation and Test in Europe (2009) Kahng, A.B., et al.: Orion 2.0: a fast and accurate NoC power and area model for early-stage design space exploration. In: Proceedings on Design, Automation and Test in Europe (2009)
18.
go back to reference Miller, J.E., et al.: Graphite: a distributed parallel simulator for multicores. In: 16th IEEE Symposium on High-Performance Computer Architecture, January 2010 Miller, J.E., et al.: Graphite: a distributed parallel simulator for multicores. In: 16th IEEE Symposium on High-Performance Computer Architecture, January 2010
Metadata
Title
A High Throughput Power-Efficient Optical Memory Subsystem for Kilo-Core Processor
Authors
Quanyou Feng
Chao Peng
Shuangyin Ren
Hongwei Zhou
Rangyu Deng
Copyright Year
2018
Publisher
Springer Singapore
DOI
https://doi.org/10.1007/978-981-10-7844-6_6