Skip to main content
Top
Published in: The Journal of Supercomputing 10/2021

06-04-2021

An efficient branch predictor for improved accuracy of instruction level parallelism

Authors: Sweety, Prachi Chaudhary

Published in: The Journal of Supercomputing | Issue 10/2021

Log in

Activate our intelligent search to find suitable subject content or patents.

search-config
loading …

Abstract

The need for modern processors is based on fast and precise branch predictors to improve the execution of instructions in the pipeline. In a parallel processor, the pipeline cannot execute the conditional instructions with the next clock cycle, leading to a pipeline stall. To address this issue, this paper suggests a variety of branch prediction techniques for improving the execution speed of conditional instructions. Firstly, a simple branch prediction and a dynamic branch prediction are applied to the trace files using saturating counters. Among these two, dynamic branch prediction provides better results by enhancing the accuracy rate of 2.01% than the static branch prediction. Further, the perceptron branch predictor predicts the implementation by using a table of perceptron and train function. This prediction scheme reduces the difficulties in dynamic branch predictor schemes such as reduces the complexity in history length table and improves the accuracy rate by 5.36%. For accuracy, a novel model based on global perceptron branch predictor is developed, which uses both global and per branch information. Trace-driven simulations have been performed by varying the range of hardware budget, traces file size, and the length of history register to increase the accuracy rate of each branch prediction technique. The obtained results suggest that the proposed global perceptron branch predictor provides an increased accuracy rate of 10.47% at 4 kb hardware budget and 8.06% at 4-bit history length than the perceptron branch predictor.

Dont have a licence yet? Then find out more about our products and how to get one now:

Springer Professional "Wirtschaft"

Online-Abonnement

Mit Springer Professional "Wirtschaft" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 340 Zeitschriften

aus folgenden Fachgebieten:

  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Versicherung + Risiko




Jetzt Wissensvorsprung sichern!

Springer Professional "Technik"

Online-Abonnement

Mit Springer Professional "Technik" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 390 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Maschinenbau + Werkstoffe




 

Jetzt Wissensvorsprung sichern!

Springer Professional "Wirtschaft+Technik"

Online-Abonnement

Mit Springer Professional "Wirtschaft+Technik" erhalten Sie Zugriff auf:

  • über 102.000 Bücher
  • über 537 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Maschinenbau + Werkstoffe
  • Versicherung + Risiko

Jetzt Wissensvorsprung sichern!

Literature
5.
go back to reference Goyal S, Singh J (2017) Two-level alloyed branch predictor based on genetic algorithm for deep pipelining processors. Int J Mod Educ Comput Sci 9(5):27–33CrossRef Goyal S, Singh J (2017) Two-level alloyed branch predictor based on genetic algorithm for deep pipelining processors. Int J Mod Educ Comput Sci 9(5):27–33CrossRef
6.
go back to reference Habibizad Navin A, Lahouti E, Lotfi Anhar M, Mirnia MK (2010) A new method to prevent control hazard in pipeline processor by using an auxiliary processing unit. 2010 2nd International Conference on Advanced Computer Control, pp 596–599. https://doi.org/https://doi.org/10.1109/ICACC.2010.5487130 Habibizad Navin A, Lahouti E, Lotfi Anhar M, Mirnia MK (2010) A new method to prevent control hazard in pipeline processor by using an auxiliary processing unit. 2010 2nd International Conference on Advanced Computer Control, pp 596–599. https://​doi.​org/​https://​doi.​org/​10.​1109/​ICACC.​2010.​5487130
7.
go back to reference Heil TH, Smith Z, Smith, JE (1999) Improving branch predictors by correlating on data values. Proceedings of the 32nd Annual ACM/IEEE International Symposium on Microarchitecture, pp 28–37 Heil TH, Smith Z, Smith, JE (1999) Improving branch predictors by correlating on data values. Proceedings of the 32nd Annual ACM/IEEE International Symposium on Microarchitecture, pp 28–37
8.
go back to reference Ho CY, Chong KF, Yau CH, Fong ASS (2007) A study of dynamic branch predictors: counter versus perceptron. Fourth International Conference on Information Technology (ITNG’07), pp 528–536 Ho CY, Chong KF, Yau CH, Fong ASS (2007) A study of dynamic branch predictors: counter versus perceptron. Fourth International Conference on Information Technology (ITNG’07), pp 528–536
10.
go back to reference Kulkarni KN, Mekala VR (2016) A review of branch prediction schemes and a study of branch predictors in modern microprocessors. Kulkarni KN, Mekala VR (2016) A review of branch prediction schemes and a study of branch predictors in modern microprocessors.
11.
14.
go back to reference Pandey A (2016) Study of data hazard and control hazard resolution techniques in a simulated five stage pipelined RISC processor. Int Conf Invent Comput Technol (ICICT) 2:1–4 Pandey A (2016) Study of data hazard and control hazard resolution techniques in a simulated five stage pipelined RISC processor. Int Conf Invent Comput Technol (ICICT) 2:1–4
15.
go back to reference Panwar N, Kaur M, Singh G (2015) Performance analysis of branch prediction unit for pipelined processors. Int J Comput Appl 128(16):6–12 Panwar N, Kaur M, Singh G (2015) Performance analysis of branch prediction unit for pipelined processors. Int J Comput Appl 128(16):6–12
17.
18.
go back to reference Rao S, Sudhakar PK (2018) An analysis to improve branch prediction accuracy by using neural branch prediction. Int J Mod Trends Sci Technol 3(5):1–7 Rao S, Sudhakar PK (2018) An analysis to improve branch prediction accuracy by using neural branch prediction. Int J Mod Trends Sci Technol 3(5):1–7
19.
go back to reference Seznec A, Jourdan S, Sainrat P, Michaud P, Seznec A, Jourdan S, Sainrat P, Michaud P, Branch MA (2006) Multiple-block ahead branch predictors Seznec A, Jourdan S, Sainrat P, Michaud P, Seznec A, Jourdan S, Sainrat P, Michaud P, Branch MA (2006) Multiple-block ahead branch predictors
20.
go back to reference Shah PZ, Prabhu SU (2014) Hybrid learning-based branch predictor. Int J Eng Res Technol 3(8):1135–1139 Shah PZ, Prabhu SU (2014) Hybrid learning-based branch predictor. Int J Eng Res Technol 3(8):1135–1139
21.
go back to reference Skadron K, Martonosi M, Clark DW (2000) A taxonomy of branch mispredictions, and alloyed prediction as a robust solution to wrong-history mispredictions. Proceedings International Conference on Parallel Architectures and Compilation Techniques. pp 1–8 Skadron K, Martonosi M, Clark DW (2000) A taxonomy of branch mispredictions, and alloyed prediction as a robust solution to wrong-history mispredictions. Proceedings International Conference on Parallel Architectures and Compilation Techniques. pp 1–8
23.
go back to reference Su X, Wu H, Yang Q (2016) An efficient wcet-aware hybrid global branch prediction approach. Proceedings-2016 IEEE 22nd International Conference on Embedded and Real-Time Computing Systems and Applications, RTCSA 2016. pp 195–201. https://doi.org/https://doi.org/10.1109/RTCSA.2016.46 Su X, Wu H, Yang Q (2016) An efficient wcet-aware hybrid global branch prediction approach. Proceedings-2016 IEEE 22nd International Conference on Embedded and Real-Time Computing Systems and Applications, RTCSA 2016. pp 195–201. https://​doi.​org/​https://​doi.​org/​10.​1109/​RTCSA.​2016.​46
25.
go back to reference Sweety N, Chaudhary P (2018) Branch prediction techniques used in pipeline processors: a review. Int J Pure Appl Math 119(15):2843–2851 Sweety N, Chaudhary P (2018) Branch prediction techniques used in pipeline processors: a review. Int J Pure Appl Math 119(15):2843–2851
26.
go back to reference Tripathy AK, Mishra P (2011) A novel approach for branch prediction using SVM. Int J Adv Res Comput Sci 2(1) Tripathy AK, Mishra P (2011) A novel approach for branch prediction using SVM. Int J Adv Res Comput Sci 2(1)
Metadata
Title
An efficient branch predictor for improved accuracy of instruction level parallelism
Authors
Sweety
Prachi Chaudhary
Publication date
06-04-2021
Publisher
Springer US
Published in
The Journal of Supercomputing / Issue 10/2021
Print ISSN: 0920-8542
Electronic ISSN: 1573-0484
DOI
https://doi.org/10.1007/s11227-021-03778-5

Other articles of this Issue 10/2021

The Journal of Supercomputing 10/2021 Go to the issue

Premium Partner