Skip to main content
Top
Published in:

Open Access 2025 | OriginalPaper | Chapter

6. Analysis of Circuit-Based RHBD Techniques

Authors : Ygor Quadros de Aguiar, Frédéric Wrobel, Jean-Luc Autran, Rubén García Alía

Published in: Single-Event Effects, from Space to Accelerator Environments

Publisher: Springer International Publishing

Activate our intelligent search to find suitable subject content or patents.

search-config
loading …

Abstract

This chapter focuses on circuit-level techniques, completing the analysis of radiation hardening by design (RHBD) methods across various design abstraction levels. Here, we evaluate the charge sharing effect, considering its dependence on cell placement and logic synthesis. Subsequently, an optimization methodology is proposed to enhance overall circuit hardness through signal probability–based pin swapping.

6.1 Reliability-Driven Synthesis

The synthesis process is an essential stage in very-large-scale integration (VLSI) system design using integrated circuits (ICs) as it determines critical performance characteristics of the application, such as timing, power consumption, and area efficiency. However, this process also significantly impacts the final circuit’s reliability, especially when considering radiation effects such as soft errors. Consequently, various approaches can be integrated into the synthesis flow to enhance this aspect. This chapter analyzes and proposes mitigation strategies as a novel radiation hardening by design (RHBD) approach applicable during both logical and physical synthesis in VLSI systems, offering significant improvements in circuit robustness under radiation effects.
Electronic circuit development for space and aviation can employ various design methodologies, ranging from field programmable gate arrays (FPGAs) to full-custom or cell-based application-specific integrated circuits (ASICs). While FPGA-based designs offer fast prototyping, they often sacrifice area and performance compared to full-custom designs [1, 2]. On the other hand, ASICs strike the best balance between performance, power consumption, and circuit area. The standard cell methodology is a primary approach in ASIC design, wherein thousands of predesigned and characterized logic gates, known as “standard cell logic gates,” are utilized for designing complex VLSI circuits. The synthesis of a Boolean function can lead to varying combinations of logic cells, affecting the number of transistors and layout design, which directly influences the radiation robustness of the circuit. Once vulnerable nodes are identified within a circuit, hardening techniques such as gate sizing or hardware redundancy can be employed to enhance overall reliability [3, 4].
There is a growing trend within the research community to incorporate radiation hardening techniques early in the VLSI circuit design flow [510]. The proposed predictive single-event transient (SET) characterization methodology (discussed in Sect. 3.​4) can be integrated into the logic synthesis of a cell-based circuit design, as illustrated in Fig. 6.1. Starting from a register transfer level (RTL) description, logic synthesis translates a function into a netlist description of logic gates using a designated standard cell library. The logic synthesis of a VLSI circuit comprises three main processes [11]:
1.
Gate-level optimization independent of technology: Boolean equations described in the RTL are optimized to minimize size and the number of literals.
 
2.
Technology mapping: Transforms each logic function into a logic gate (NAND, NOR, AND, OR, etc.) from the provided cell library.
 
3.
Technology-dependent gate-level optimization: Optimizations on the gate netlist are conducted to minimize delay in critical paths, power consumption, and area usage.
 
RTL, or register transfer level, serves as an abstraction for digital circuits, emphasizing the movement of data between registers. It offers a middle ground between high-level concepts and low-level transistor details.
The gate netlist, a logic-level representation of the circuit, includes gate instances from the standard cell library and their corresponding port connectivity. Consequently, the logic synthesis has a major impact on the resulting gate netlist and thus on the single-event effect (SEE) immunity of the final circuit design. During technology mapping, the technology-independent circuit is broken down into fundamental primitive logic cells (e.g., INV, NAND, or NOR gates). Subsequently, after the decomposition, a pattern-matching process identifies structural and functional patterns to be utilized in the covering process. Here, the optimal patterns are chosen based on a cost function considering factors such as delay, area, and power consumption. Hence, by evaluating the SET immunity of basic logic cells and their combinations, it becomes feasible to develop a reliability-driven cost function and integrate it into the technology mapping process.
The physical design process translates the synthesized gate netlist into the geometric representations used for manufacturing, known as the circuit layout. This stage involves placing each logic cell layout and routing its connections to minimize wire length and optimize power/performance. However, the study conducted by Entrena et al. [3] proposed a cell placement approach focused on reducing charge sharing effects, thereby improving the single-event rate (SER) performance of the circuit.
Similarly, by leveraging the SET characterization methodology on a cell library, a set of SET-aware logic transformations can be integrated into the logic synthesis stage to enhance the SET immunity of the final synthesized gate netlist. Figure 6.2 illustrates the SET cross section of the six most commonly used standard cell logic gates for two-particle linear energy transfer (LET) values.
The AND-OR inverter (AOI) and the OR-AND inverter (OAI) gates implement a larger logic function, resulting in a larger layout area. Consequently, a higher SET cross section is observed when compared with the primitive logic cells. Based on this information, a SET-aware technology mapping could be adopted by assigning a reliability cost to each logic gate. The weight or cost of each gate can be calculated based on the radiation requirements of the mission and the SET cross section or the estimated in-orbit SET rate. This strategy would enable the selection of gate types during technology mapping with consideration for their impact on circuit reliability in radiation-prone environments.

6.1.1 Multiple \(V_{th}\) Cells and Voltage Scaling

A primary objective of logic synthesis is to minimize delay in critical paths. This is achieved by selecting cells with lower propagation times, often facilitated by the adoption of multiple threshold voltage (\(V_{th}\)) circuits [13]. Devices with lower \(V_{th}\) values exhibit faster switching times, thereby accelerating circuit operation. However, this comes at the expense of increased static power consumption due to higher leakage currents. Conversely, employing high \(V_{th}\) devices reduces leakage currents but may lead to performance degradation. Consequently, multiple \(V_{th}\) cells are commonly utilized to optimize the gate netlist with respect to both delay and power consumption [14].
An efficient SET characterization methodology can also address the assignment of multiple \(V_{th}\) values. Figure 6.3 illustrates the characterization of standard cells using high-performance (HP) process technology, featuring low \(V_{th}\) devices, and low-power (LP) process technology, featuring high \(V_{th}\) devices. As expected, circuits based on LP technology exhibit a higher overall cross section. This aligns with prior research demonstrating that increased threshold voltage degrades driving strength [1517]. Notably, NAND gates are the most sensitive to variations in \(V_{th}\), with cross-section increase of 95%. Despite their higher cross sections, complex logic gates such as AOI21 and OAI21 demonstrate relatively low increases.
Besides impacting the SET cross section of logic gates, the different \(V_{th}\) devices can also impact the masking effects and the SEU cross section of memory cells.
While dynamic voltage scaling is another technique for low-power systems [18], reducing supply voltage increases delay and radiation sensitivity [19, 20]. In Fig. 6.4, the SET cross section of each gate is estimated while considering supply voltage scaling from 1 V down to 0.4 V, approaching the near-threshold regime.
Decreasing the supply voltage directly diminishes the critical charge necessary to observe an SEE in the circuit output [19]. Notably, at nominal voltage, NAND gates offer a lower SET cross section compared to NOR gates. However, under voltage scaling to 0.4 V, NOR gates exhibit a lower cross section. This discrepancy stems from the varying impact of drive capability on the transistor networks present in each gate design. Consequently, for low-power systems employing dynamic voltage scaling, logic synthesis should favor NOR gates over NAND gates to enhance radiation hardness.

6.1.2 Technology Mapping

Reliability-aware logic synthesis, incorporating mitigation strategies for soft errors, involves hardening a complex circuit by selectively employing logic gates that minimize SET generation or propagation in the most vulnerable sub-circuits of a complex VLSI design [5]. For example, the radiation robustness of the circuit can be enhanced by selecting the optimal combination of standard cells that facilitates the pulse quenching effect (PQE) induced by inter-cell charge sharing in electrically related combinational circuits [21, 22]. As previously mentioned, technology mapping is responsible for translating Boolean logic functions described in RTL codes into actual physical logic gates available in a cell library.
Consider the buffer gate, a commonly used circuit amplifier in VLSI circuit design, depicted in Fig. 6.5. Unlike the inverter gate, a digital buffer outputs a signal of the same logic state as its input signal. Buffer insertion, also known as repeater insertion, is a well-established technique in VLSI systems to enhance circuit performance in submicron technology [23]. Moreover, with technology scaling, buffer insertion becomes increasingly important due to the rise in wire delays [24]. Consequently, it is crucial to assess the radiation robustness of buffer gates provided by cell libraries.
From the RTL description of a circuit, the implementation of the buffer Boolean function can be synthesized into either a single buffer (BUF) gate, typically available in the cell library, or two interconnected inverter (INV) gates. Although both implementations realize the same logic function, different radiation sensitivities may be expected due to their distinct layout implementations provided by standard cell design and the cell placement obtained in physical synthesis. Similar differences can be anticipated when implementing the logic functions OR and AND. To analyze which gate combination offers the best SET robustness, in the work developed at [22], the BUF, AND, and OR gates were evaluated under heavy ions and compared with INV, NAND, and NOR gates coupled with an inverter in their output.
Three distinct horizontal cell placement configurations were analyzed to assess the effectiveness of charge sharing and its consequent pulse quenching effect. Vertical placements (electrically connected cells in separate rows) were excluded from this study as prior research has shown that they eliminate the pulse quenching effect due to increased nodal separation and the presence of well contacts that significantly reduce charge sharing efficiency [25]. All cells were minimum-sized drive strength (X1) designs from the bulk 45nm technology cell library. The SET cross section (\(\sigma _{SET}\)) for the BUF gate and the connected inverters (with the second inverter placed four minimum cell widths, i.e., 1520 nm from the output of the first inverter) are presented in Fig. 6.6. Clearly, the BUF design has a lower overall SET cross section. This design places the two sensitive nodes closer together compared to the INV \(+\) INV setup, enhancing the charge sharing effect. Due to the electrical relationship between these sensitive nodes (inverting stage), pulse quenching effect (PQE) is observed, reducing sensitivity to radiation-induced transient pulses.
Moreover, upon examining the layout design of the buffer gate, it becomes apparent that the first-stage inverter has smaller transistor sizing than the second-stage inverter, as depicted in Fig. 6.7. By reducing the transistor sizing of the first stage, the SET pulse propagated to the second stage is shorter than in the INV+INV design. As the drain collection area in the second inverter remains unchanged in both designs, in the buffer gate, a shorter transient pulse is induced in the first inverter stage while maintaining the same PQE effectiveness in the second-stage inverter, demonstrating its superior robustness.
While the optimal implementation for the buffer boolean function has been shown to be the BUF gate from the studied cell library, for the OR boolean function, the NOR \(+\) INV circuit might present a lower SET cross section [22]. Despite the reduced transistor sizing in the internal NOR circuit within the OR gate layout, the NOR+INV circuit continues to offer a lower \(\sigma _{SET}\), highlighting its robustness. Unlike the inverter gate, NOR and NAND gates include transistors in series, potentially degrading the recovering current of the circuit. Moreover, while the NAND \(+\) INV circuits do not exhibit a lower \(\sigma _{SET}\) compared to the NOR \(+\) INV circuits, the NMOS device in the NAND gate’s output inverter dominates the pulse quenching effect when the input vector is (1, 1), resulting in a different response compared to the NOR \(+\) INV schemes. These findings highlight the importance of evaluating different logic gate combinations during synthesis to achieve optimal radiation hardness for reliable VLSI circuits.
In addition to the basic primitive logic gates (INV, NAND, NOR, etc.), standard cell libraries also offer complex logic gates such as the AND-OR inverter (AOI) and OR-AND inverter (OAI) cells. The usage of such standard cells reduces the number of transistors in the circuit, resulting in denser layouts, decreased power consumption, and smaller area requirements [26]. For instance, the Boolean logic function represented in Eq. 6.1 can be realized using basic logic standard cells like NOR and AND gates, or directly employing the complex gate AOI [27].
$$\displaystyle \begin{aligned} Y = \neg (A1 \wedge (B1 \vee B2)) {} \end{aligned} $$
(6.1)
Implementing Equation 6.1 with the AOI21 gate reduces the transistor count by 40% compared to using an AND gate coupled with a NOR gate. Similarly, the OAI21 gate achieves the same reduction for the complement of Eq. 6.1. Although the power consumption of complex logic gates is reduced, predicting their radiation sensitivity is challenging. The radiation performance of complex logic gates AOI21 and OAI21 is compared with their corresponding implementations using only basic logic gates such as AND, OR, NAND, and NOR.
The SET cross-section curves considering only P-hit interactions, is shown in Fig. 6.8. In this scenario, all PMOS devices are turned off by setting the input signals to (1, 1, 1). It clearly shows that the implementation containing the basic logic cells AND \(+\) NOR provides a lower SET cross section than the AOI21 for the entire LET range. Both circuits present the same threshold LET, whereas there is a SET cross-section difference of approximately a factor of 2. The charge sharing effect and more importantly the logical masking between the AND gate and NOR gate are responsible for this reduced number of observed SET in the output of the AND \(+\) NOR implementation [27].
Any SET induced at the AND gate will be filtered by the logic of the NOR gate as observed in the truth table shown in Fig. 6.9. The output of the NOR gate will remain at logic zero as long as the secondary input remains at logic one. This masking effect is not observed for the N-hit configuration. However, by analyzing the structure of the combinational logic and the electrical simulation results, the SETs observed for the AND gate are logically masked by the NOR gate, thus reducing the overall drain sensitive area to the PMOS devices issued in the NOR gate [27].
Fig. 6.10 presents the comparison between the SET cross section of the AND \(+\) NOR implementation and the standalone NOR gate. It can be observed that logical masking is effective by reducing the sensitivity of the circuit close to the sensitivity of the standalone NOR gate.
Considering only the N-hit interactions, the SET cross sections are approximately the same for high LET ions due to very similar N-hit sensitive areas. Further, there is no contribution of logical masking effect for the input signals considered. As shown in the truth table of the NOR gate in Fig. 6.9, its output is determined whenever one of its input is at logic one. Then, as originally both inputs are set to logic zero, whenever a generated SET at the AND gate propagates to the NOR gate, it will be able to propagate to its output in the case of not being electrically attenuated. In this case, only the electrical masking effect takes place.

6.2 Pin Assignment

The SET characterization of logic gates presents an input dependence due to the varying interplay between sensitive collecting drain areas and restoring current, as previously discussed in Chap. 5. While signal switching activity has long been used to estimate power consumption in VLSI circuit design, it can also support reliability analysis, as demonstrated in [2830]. Generally, the SET cross section of a digital circuit is given for a specific input signal combination or for the arithmetic mean between the cross section obtained for each input signal combination of the truth table, i.e., the same probability to each input combination is considered. However, the predictive SET characterization (described in Chap. 3) can incorporate signal probability information from a given system application to estimate a more realistic cross section. By considering signal probabilities, more application-efficient mitigation transformations can be proposed in circuit synthesis (Fig. 6.1).
Signal switching activity in VLSI systems serves multiple purposes, including estimating power consumption and performing timing analysis [3133]. Given the sensitivity of circuits to SET, which is influenced by layout and operational factors such as input signals and internal states, signal probability can be leveraged to enhance circuit reliability [34]. Signal probability-based reliability analysis (SPRA) is an effective tool for analyzing the reliability of VLSI circuits at the gate level [29, 35, 36]. Here, reliability refers to the confidence level that the output will be functionally correct given a fault probability. Initially, SPRA methods focused on physical defects due to wear-out mechanisms or process variability [35]. However, with increasing interest in reducing soft error rates, research has expanded to analyze SET [7, 9, 29, 36]. For instance, [9] proposed a cell placement strategy based on defining bad and good pairs of logic gates to minimize circuit error rates. Similarly, [7] suggested a cell placement approach considering signal probability and its impact on the pulse quenching effect.
In this section, we will discuss how a reliability-driven pin assignment optimization based on the input dependence of SET sensitivity of logic gates can be used to improve the robustness of a given complex digital circuit.

6.2.1 Optimization of Pin Assignment for Single-Event Transients

Pin assignment in logic synthesis enhances power and performance metrics in VLSI circuit design by exploiting the functional equivalence of input pins of logic gates [11, 37, 38]. Consider the NAND gate and its truth table in Fig. 6.11. A symmetric input relationship is evident when both input signals are not identical (i.e., A \(\neq \) B): the output signal is determined whenever one of its inputs is in the low logic level, regardless of the input pin (a or b). The interchangeable input combinations are highlighted in Fig. 6.11 (red rectangle). This symmetric input relationship holds true for all two-input basic standard cell gates.
As each input pin of a logic gate presents different electrical characteristics depending on the transistor network, power-driven logic synthesis assigns the input signal with lower switching activity to the pin with higher capacitance. Similarly, a timing-driven optimization can apply pin permutation between symmetric input pins such that the late-arriving signal is always connected to the input pin with the lowest intrinsic delay [11]. This process is known as rewiring or pin swapping [37, 38]. Considering that cross section of logic gates is dependent on the input stimuli, a reliability-aware synthesis can be proposed based on the cell symmetric inputs and signal probabilities to improve the vulnerability of the circuit through optimal pin assignment.
The proposed SET-aware pin assignment optimization in a cell-based circuit design flow is illustrated in Fig. 6.12. Beginning with a circuit description in RTL, logic synthesis optimizes each Boolean function and maps it to logic gates available in the standard cell library. The resulting gate netlist, typically optimized for timing, area, and/or power, is then utilized alongside the standard cell library for the SET-aware pin assignment optimization.
The first step involves the input-based SET characterization, where the symmetric input relationship of each standard cell is identified, and the SET cross section for interchangeable input combinations is calculated. For example, the cross section for input combinations (0, 1) and (1, 0) is determined. Based on the SET characterization results, a set of pin assignment rules is defined. This entails assigning an input pin for each standard cell so that the net with the lowest signal probability is connected, ensuring that the most sensitive interchangeable input combination has the lowest probability of occurrence.
In the second step, considering the switching activity of the primary inputs, the calculation of signal probability can be performed for internal net connections, as depicted in Fig. 6.13. Using the Boolean function of each gate, an equation is derived to calculate the probability of the output signal being at logic value 1, denoted as \(P_{GATE} (output = 1)\). In this study, the Parker-McCluskey method [39] was employed, assuming uncorrelated primary inputs with equal switching activity of 50% (signal probability equals 0.5). Although temporal and spatial correlations are not considered in our analysis, more sophisticated and accurate signal probability estimation methods can be seamlessly integrated into the development flow depicted in Fig. 6.12. Utilizing basic probability theory, the output signal probability equations for the logic gates used in the combinational circuit in Fig. 6.13 are presented in Table 6.1.
Table 6.1
Signal probability estimation for the INV, NAND, NOR, and XOR gates
Cells
Input signals
Output signal probabilitya
INV
1: a
\(P_{INV} = 1 - p_{A}\)
NAND
2: a, b
\(P_{NAND} = 1 - (p_{A}\times p_{B})\)
NOR
2: a, b
\(P_{NOR} = (1 - p_{A})\times (1 - p_{B})\)
XOR
2: a, b
\(P_{XOR} = p_{A} \times (1 - p_{B}) + p_{B} \times (1 - p_{A})\)
aSignal probability is the probability of the signal to be at logic value 1
For instance, consider the inverter gate. Given the input probability \(p(a=1)=1\), i.e., the signal is always at logic value 1, considering its Boolean function, the probability of the output signal to be at logic value 1 is 0 (\(P_{INV} (output=1)=0\)). Thus, the signal probability equation for the inverter can be expressed by Eq. 6.2:
$$\displaystyle \begin{aligned} P_{INV}(\text{output}=1)=1-p(a=1) {} \end{aligned} $$
(6.2)
For clarity, the probabilities \(P_{GATE} (output=1)\), \(p(a=1)\), and \(p(b=1)\) will be shortened to \(P_{GATE}\), \(p_{A}\), and \(p_{B}\). Following the signal probability calculation step in the Fig. 6.12 is the pin swapping process. In this process, with the pin assignment rules and the signal probabilities, an optimization algorithm can identify which pin should be swapped in order to reduce the occurrence of the interchangeable input combination with the higher SET cross section. After the pin swapping, the standard design flow is performed with the optimized netlist.
In order to obtain important reliability information to be addressed in the optimization process, the SET characterization methodology is aligned to the identification of the input dependence [34, 40]. The sensitivity of each standard cell is extracted from the layout design in the Graphical Design System (GSDII) file. Thus, the Monte Carlo simulation tool, MC-Oracle [41], is used to obtain the SET currents. In order to consider the input signal probabilities, Eq. 6.3 is used. Given n input combinations, the overall gate SET cross-section \(\sigma _{Gate}\) can be estimated from the input SET cross-section \(\sigma _{SET}(i)\) and the input probability \(p(i)\):
$$\displaystyle \begin{aligned} \sigma_{Gate}=\sum_{i=0}^{n} \sigma_{SET}(i) \times p(i) {} \end{aligned} $$
(6.3)
The input cross-section \(\sigma _{SET}(i)\) is provided by the SET characterization, while the input probabilities are provided by the signal probability calculation in Fig. 6.13. Then, this equation is used in the Pin Swapping process to evaluate when the input pins assigned from the logic synthesis should be swapped to decrease the gate SET cross section. Similarly, this process can also adopt the soft-error rate estimation for a given mission orbit as shown in [40].

6.2.2 Impact on the SET Cross Section of Standard Cells

Based on the cross section of the interchangeable input combinations, an optimized logic synthesis should prioritize the pin assignment of the lowest signal probability in such a way the most sensitive interchangeable input combination obtains the lowest probability of occurrence [40]. The input SET cross section for NAND, NOR, and XOR gates under particle linear energy transfer (LET) of 5 \(\mbox{MeV.cm}^2/\mbox{mg}\) is shown in Fig. 6.14. It is possible to identify the most sensitive input combinations for each gate. Considering the interchangeable input, i.e., (0, 1) and (1, 0), the NAND gate is the only cell to show the lowest cross section for (1, 0), while the NOR and XOR gates present (0, 1). It implies that, considering low-particle LET, the lowest signal probability should be assigned to the input B for the NAND gate and to the input A for the NOR and XOR gates.
When adopting a three-input logic function such as AOI21 and OAI21, it is not possible to obtain a complete symmetric input relationship as observed for the two-input gates. It is necessary to identify the interchangeable input combinations and also what we denominate as the controllable input pin, i.e., the pin that controls the output of the function and the pin assignment cannot optimize it; otherwise, it will interfere with the correct logic function of the circuit. In [40], this methodology is explained in detail and the results for the AOI21 and OAI21 under an LET of 5 MeV.cm2/mg is shown in Fig. 6.15.
By applying Eq. 6.3, we can obtain the overall gate SET cross-section \(\sigma _{Gate}\) for a given input scenario. Figure 6.16 provides the gate SET cross-section \(\sigma _{Gate}\) curves for the NOR gate considering two signal probability scenarios [a: 0.9, b: 0.1] and [a: 0.1, b: 0.9]. For high LET, a slight reduction is observed in the cross section when the lowest signal probability is assigned to the input pin b as it reduces the impact from the input combination (0, 1). However, for low LET, the cross section can be drastically reduced if the lowest signal probability is assigned to the input pin a, instead. With the reduction of the particle LET, the impact on the overall gate sensitivity is dominated by the input combination (1, 0), being comparable to the worst-case input scenario for this logic gate, the input (0, 0). For instance, a SET cross-section reduction of solely 9% can be obtained for the pin assignment [a: 0.9, b: 0.1] when the LET is 78.23 \(\mbox{MeV.cm}^2/\mbox{mg}\), while a reduction up to 86% is expected for [a: 0.1, b: 0.9] under 2.53 \(\mbox{MeV.cm}^2/\mbox{mg}\).
To verify this impact within a mission environment, the gate reliability can be examined in terms of in-orbit SET rates. Figures 6.17 and 6.18 present the in-orbit SET rates calculated using the OMERE tool [42], based on NOR gate SET cross-section curves shown in Fig. 6.16. The standard method for calculating the SEE rate, as specified by the European Cooperation for Space Standardization (ECSS), employs the integral rectangular parallelepiped (IRPP) method [43]. The SEE rate is derived through the convolution of the cross-section data with the particle flux in the mission orbit.
In this study, the SET rates were computed for both a geostationary orbit (GEO) and a low-Earth orbit (LEO) International Space Station (ISS) orbit. Employing a fixed shielding of 1 \(\mbox{g/cm}^2\), the international standard ISO 15390 model is utilized for the galactic cosmic rays (GCR) [44], while the NASA AP8MIN trapped radiation model is adopted for the trapped proton flux under solar minimum conditions [45]. The results are segmented into heavy-ion, proton, and total rates (combining heavy-ion and proton rates).
The significant impact of the pin assignment is evident in heavy-ion rates, showcasing a reduction of approximately 83% and 92% on the SET rate for the GEO and ISS orbits, respectively. However, in the ISS orbit, protons are anticipated to dominate the SEE rate, as depicted in Fig. 6.17. By accounting for the contributions of heavy ions and protons to the SET rate of the two-input NOR gate, a SET-aware pin assignment could yield a reduction of 37% and 16% in its total SET rate for the GEO and ISS orbits, respectively.
This analysis can be extended to encompass every gate within a cell library or a complex circuit. Such an approach facilitates the development of customized rules-based optimization and a SET-aware pin assignment during logic synthesis. For instance, in [40], this technique was applied in arithmetic benchmark circuits and up to 28% reduction on the SET rate was observed, depending on the circuit architecture and mission orbit. This optimization technique provides no area overhead and can be used along with other hardening techniques. Additionally, it does not impact the cell placement, and the net routing is impacted minimally and locally.
This optimization technique holds the potential to significantly enhance the benefits derived from the hardening techniques discussed earlier in this book, as it has been shown that the efficacy of layout and circuit-level techniques often exhibits input dependence. Consequently, pin assignment can be employed to maximize their efficiency. For example, this approach has been verified for the triple modular redundancy (TMR) optimization strategies discussed in [46]. In this work, four majority voter architectures were studied, and signal probability analysis was used to optimize TMR block insertion. While complex gate voters offered a smaller layout footprint, they exhibited a higher overall SET cross section due to increased charge collection area from upsized transistors. Conversely, basic logic gate architectures displayed a higher dependence on input signal probabilities due to logical masking effects. These findings suggest that incorporating an input dependence analysis into SET assessment can guide selective TMR block insertion in critical circuit nodes based on their signal probability distribution. This approach can optimize radiation hardening while minimizing area overhead and others.

6.3 Summary

In this chapter, the evaluation of circuit-level techniques is discussed, emphasizing the significance of reliability-driven synthesis in the VLSI design flow. The applicability of a detailed SEE characterization to support synthesis algorithms targeting SEE resilience is discussed. In this sense, a cell-based characterization can provide insights on the sensitivity of logic gates considering various device technologies (e.g., high performance vs. low power) and operating conditions (e.g., dynamic voltage scaling, input signal probability, etc.).
It was shown that the technology mapping process has a crucial role in determining the overall robustness of complex circuits. Since a single Boolean logic function can be implemented in various ways using different logic gates, logic synthesis in ASIC designs can benefit from incorporating logic transformations focused on reliability cost functions to mitigate the occurrence of transient pulses. For instance, the usage of complex logic gates might reduce the logical masking capabilities of a given combinational circuit.
Given the input dependence of the SEE sensitivity of logic circuits, an optimization methodology is proposed to improve the overall circuit hardness through pin swapping based on the signal probability. As shown in Chap. 5, the input dependence is attributed to the different driving capabilities and the influence of the layout design on the SET robustness. Given that the influence of layout design varies with LET, the relationship between input signal and gate SET cross section is also demonstrated to be LET dependent. The impact of adopting signal probability evaluation and pin assignment has shown the greatest cross-section reduction for low-particle LET. Considering the two-input gates, the signal with the lowest probability should be assigned to the input B for the NAND gate and to the input A for the NOR and XOR gates. For the three-input gates, different conclusions can be drawn based on the signal probability of the controllable input.
Pin assignment alone has the potential to reduce the SET rate, but it can also serve as an optimization technique to maximize the effectiveness of other layout and circuit hardening techniques. For example, a selective TMR block insertion can be developed based on the signal probability of the critical nodes and the SET cross section of several majority voter designs. The versatility of this optimization technique, grounded in input signal probability and input dependence analysis, offers a multitude of possibilities for achieving a more reliable and robust electronic system.
Highlights
  • The logical and physical synthesis processes of a VLSI circuit play a significant role in determining the performance characteristics of a VLSI circuit, from power, timing, and area consumption to the reliability against soft errors.
  • A Boolean logic function can be implemented in several ways during the technology mapping process, and therefore, the overall SEE susceptibility will be different for each design version.
  • Using complex logic gates can reduce the logical masking capabilities when compared with their counterpart design version using basic logic gates such as NAND, NOR, and INV gates.
  • The SET input dependence of logic gates can be used to optimize the overall circuit reliability when the signal probability of its input is considered.
  • Reliability-aware pin assignment can improve the overall robustness of a circuit on its own and, even more significantly, maximize the efficiency of several other hardening techniques without introducing any area overhead.
Open Access This chapter is licensed under the terms of the Creative Commons Attribution 4.0 International License (http://​creativecommons.​org/​licenses/​by/​4.​0/​), which permits use, sharing, adaptation, distribution and reproduction in any medium or format, as long as you give appropriate credit to the original author(s) and the source, provide a link to the Creative Commons license and indicate if changes were made.
The images or other third party material in this chapter are included in the chapter's Creative Commons license, unless indicated otherwise in a credit line to the material. If material is not included in the chapter's Creative Commons license and your intended use is not permitted by statutory regulation or exceeds the permitted use, you will need to obtain permission directly from the copyright holder.
Literature
1.
go back to reference Ian Kuon and Jonathan Rose. Measuring the gap between FPGAS and ASICS. IEEE Transactions on computer-aided design of integrated circuits and systems, 26 (2): 203–215, 2007.CrossRef Ian Kuon and Jonathan Rose. Measuring the gap between FPGAS and ASICS. IEEE Transactions on computer-aided design of integrated circuits and systems, 26 (2): 203–215, 2007.CrossRef
2.
go back to reference Paul S Zuchowski, Christopher B Reynolds, Richard J Grupp, Shelly G Davis, Brendan Cremen, and Bill Troxel. A hybrid ASIC and FPGA architecture. In IEEE/ACM International Conference on Computer Aided Design, 2002. ICCAD 2002., pages 187–194. IEEE, 2002. Paul S Zuchowski, Christopher B Reynolds, Richard J Grupp, Shelly G Davis, Brendan Cremen, and Bill Troxel. A hybrid ASIC and FPGA architecture. In IEEE/ACM International Conference on Computer Aided Design, 2002. ICCAD 2002., pages 187–194. IEEE, 2002.
3.
go back to reference L. Entrena, A. Lindoso, E. S. Millan, S. Pagliarini, F. Almeida, and F. Kastensmidt. Constrained placement methodology for reducing SER under single-event-induced charge sharing effects. IEEE Transactions on Nuclear Science, 59 (4): 811–817, Aug 2012. ISSN 0018-9499. doi: https://doi.org/10.1109/TNS.2012.2191796. L. Entrena, A. Lindoso, E. S. Millan, S. Pagliarini, F. Almeida, and F. Kastensmidt. Constrained placement methodology for reducing SER under single-event-induced charge sharing effects. IEEE Transactions on Nuclear Science, 59 (4): 811–817, Aug 2012. ISSN 0018-9499. doi: https://​doi.​org/​10.​1109/​TNS.​2012.​2191796.
4.
go back to reference Cristiano Lazzari, Gilson Wirth, Fernanda Lima Kastensmidt, Lorena Anghel, and Ricardo Augusto da Luz Reis. Asymmetric transistor sizing targeting radiation-hardened circuits. Electrical Engineering (Archiv fur Elektrotechnik), 94 (1): 11–18, 2012. Cristiano Lazzari, Gilson Wirth, Fernanda Lima Kastensmidt, Lorena Anghel, and Ricardo Augusto da Luz Reis. Asymmetric transistor sizing targeting radiation-hardened circuits. Electrical Engineering (Archiv fur Elektrotechnik), 94 (1): 11–18, 2012.
5.
go back to reference Daniel B Limbrick, Nihaar N Mahatme, William H Robinson, and Bharat L Bhuva. Reliability-aware synthesis of combinational logic with minimal performance penalty. IEEE Transactions on nuclear science, 60 (4): 2776–2781, 2013. Daniel B Limbrick, Nihaar N Mahatme, William H Robinson, and Bharat L Bhuva. Reliability-aware synthesis of combinational logic with minimal performance penalty. IEEE Transactions on nuclear science, 60 (4): 2776–2781, 2013.
6.
go back to reference Bradley T Kiddie and William H Robinson. Alternative standard cell placement strategies for single-event multiple-transient mitigation. In 2014 IEEE Computer Society Annual Symposium on VLSI, pages 589–594. IEEE, 2014. Bradley T Kiddie and William H Robinson. Alternative standard cell placement strategies for single-event multiple-transient mitigation. In 2014 IEEE Computer Society Annual Symposium on VLSI, pages 589–594. IEEE, 2014.
7.
go back to reference Yankang Du, Shuming Chen, and Biwei Liu. A constrained layout placement approach to enhance pulse quenching effect in large combinational circuits. IEEE Transactions on Device and Materials Reliability, 14 (1): 268–274, 2013. Yankang Du, Shuming Chen, and Biwei Liu. A constrained layout placement approach to enhance pulse quenching effect in large combinational circuits. IEEE Transactions on Device and Materials Reliability, 14 (1): 268–274, 2013.
8.
go back to reference Aiman H El-Maleh and Khaled AK Daud. Simulation-based method for synthesizing soft error tolerant combinational circuits. IEEE Transactions on Reliability, 64 (3): 935–948, 2015. Aiman H El-Maleh and Khaled AK Daud. Simulation-based method for synthesizing soft error tolerant combinational circuits. IEEE Transactions on Reliability, 64 (3): 935–948, 2015.
9.
go back to reference Mohamad Imran Bandan, Samuel Pagliarini, Jimson Mathew, and Dhiraj Pradhan. Improved multiple faults-aware placement strategy: Reducing the overheads and error rates in digital circuits. IEEE Transactions on Reliability, 66 (1): 233–244, 2017. Mohamad Imran Bandan, Samuel Pagliarini, Jimson Mathew, and Dhiraj Pradhan. Improved multiple faults-aware placement strategy: Reducing the overheads and error rates in digital circuits. IEEE Transactions on Reliability, 66 (1): 233–244, 2017.
10.
go back to reference T. Lange, A. Balakrishnan, M. Glorieux, D. Alexandrescu, and L. Sterpone. Machine learning clustering techniques for selective mitigation of critical design features. In 2020 IEEE 26th International Symposium on On-Line Testing and Robust System Design (IOLTS), pages 1–7, 2020. T. Lange, A. Balakrishnan, M. Glorieux, D. Alexandrescu, and L. Sterpone. Machine learning clustering techniques for selective mitigation of critical design features. In 2020 IEEE 26th International Symposium on On-Line Testing and Robust System Design (IOLTS), pages 1–7, 2020.
11.
go back to reference Soha Hassoun and Tsutomu Sasao. Logic synthesis and verification, volume 654. Springer Science & Business Media, 2012. Soha Hassoun and Tsutomu Sasao. Logic synthesis and verification, volume 654. Springer Science & Business Media, 2012.
12.
go back to reference James E Stine, Ivan Castellanos, Michael Wood, Jeff Henson, Fred Love, W Rhett Davis, Paul D Franzon, Michael Bucher, Sunil Basavarajaiah, Julie Oh, et al. FreePDK: An open-source variation-aware design kit. In 2007 IEEE international conference on Microelectronic Systems Education (MSE’07), pages 173–174. IEEE, 2007. James E Stine, Ivan Castellanos, Michael Wood, Jeff Henson, Fred Love, W Rhett Davis, Paul D Franzon, Michael Bucher, Sunil Basavarajaiah, Julie Oh, et al. FreePDK: An open-source variation-aware design kit. In 2007 IEEE international conference on Microelectronic Systems Education (MSE’07), pages 173–174. IEEE, 2007.
13.
go back to reference James T Kao and Anantha P Chandrakasan. Dual-threshold voltage techniques for low-power digital circuits. IEEE Journal of Solid-state circuits, 35 (7): 1009–1018, 2000. James T Kao and Anantha P Chandrakasan. Dual-threshold voltage techniques for low-power digital circuits. IEEE Journal of Solid-state circuits, 35 (7): 1009–1018, 2000.
14.
go back to reference Guilherme Flach, Tiago Reimann, Gracieli Posser, Marcelo Johann, and Ricardo Reis. Effective method for simultaneous gate sizing and \( v \) th assignment using lagrangian relaxation. IEEE transactions on computer-aided design of integrated circuits and systems, 33 (4): 546–557, 2014. Guilherme Flach, Tiago Reimann, Gracieli Posser, Marcelo Johann, and Ricardo Reis. Effective method for simultaneous gate sizing and \( v \) th assignment using lagrangian relaxation. IEEE transactions on computer-aided design of integrated circuits and systems, 33 (4): 546–557, 2014.
15.
go back to reference Y. Q. Aguiar, F. L. Kastensmidt, C. Meinhardt, and R. Reis. Implications of work-function fluctuation on radiation robustness of finfet XOR circuits. In Radiation Effects on Components and Systems (RADECS) Conference. IEEE, 2017. Y. Q. Aguiar, F. L. Kastensmidt, C. Meinhardt, and R. Reis. Implications of work-function fluctuation on radiation robustness of finfet XOR circuits. In Radiation Effects on Components and Systems (RADECS) Conference. IEEE, 2017.
16.
go back to reference Hangfang Zhang, Hui Jiang, Thiago R Assis, Nihaar N Mahatme, Balaji Narasimham, Lloyd W Massengill, Bharat L Bhuva, Shi-Jie Wen, and Richard Wong. Effects of threshold voltage variations on single-event upset response of sequential circuits at advanced technology nodes. IEEE Transactions on Nuclear Science, 64 (1): 457–463, 2016. Hangfang Zhang, Hui Jiang, Thiago R Assis, Nihaar N Mahatme, Balaji Narasimham, Lloyd W Massengill, Bharat L Bhuva, Shi-Jie Wen, and Richard Wong. Effects of threshold voltage variations on single-event upset response of sequential circuits at advanced technology nodes. IEEE Transactions on Nuclear Science, 64 (1): 457–463, 2016.
17.
go back to reference RC Harrington, JA Maharrey, JS Kauppila, P Nsengiyumva, DR Ball, TD Haeffner, EX Zhang, BL Bhuva, and LW Massengill. Effect of transistor variants on single-event transients at the 14-/16-nm bulk finfet technology generation. IEEE Transactions on Nuclear Science, 65 (8): 1807–1813, 2018. RC Harrington, JA Maharrey, JS Kauppila, P Nsengiyumva, DR Ball, TD Haeffner, EX Zhang, BL Bhuva, and LW Massengill. Effect of transistor variants on single-event transients at the 14-/16-nm bulk finfet technology generation. IEEE Transactions on Nuclear Science, 65 (8): 1807–1813, 2018.
18.
go back to reference Thomas D Burd, Trevor A Pering, Anthony J Stratakos, and Robert W Brodersen. A dynamic voltage scaled microprocessor system. IEEE Journal of solid-state circuits, 35 (11): 1571–1580, 2000. Thomas D Burd, Trevor A Pering, Anthony J Stratakos, and Robert W Brodersen. A dynamic voltage scaled microprocessor system. IEEE Journal of solid-state circuits, 35 (11): 1571–1580, 2000.
19.
go back to reference Tino Heijmen, Damien Giot, and Philippe Roche. Factors that impact the critical charge of memory elements. In 12th IEEE International On-Line Testing Symposium (IOLTS’06), pages 6–pp. IEEE, 2006. Tino Heijmen, Damien Giot, and Philippe Roche. Factors that impact the critical charge of memory elements. In 12th IEEE International On-Line Testing Symposium (IOLTS’06), pages 6–pp. IEEE, 2006.
20.
go back to reference Fernanda Lima Kastensmidt, Jorge Tonfat, Thiago Both, Paolo Rech, Gilson Wirth, Ricardo Reis, Florent Bruguier, Pascal Benoit, Lionel Torres, and Christopher Frost. Voltage scaling and aging effects on soft error rate in SRAM-based FPGAs. Microelectronics Reliability, 54 (9-10): 2344–2348, 2014. Fernanda Lima Kastensmidt, Jorge Tonfat, Thiago Both, Paolo Rech, Gilson Wirth, Ricardo Reis, Florent Bruguier, Pascal Benoit, Lionel Torres, and Christopher Frost. Voltage scaling and aging effects on soft error rate in SRAM-based FPGAs. Microelectronics Reliability, 54 (9-10): 2344–2348, 2014.
21.
go back to reference J. R. Ahlbin, M. J. Gadlage, D. R. Ball, A. W. Witulski, B. L. Bhuva, R. A. Reed, G. Vizkelethy, and L. W. Massengill. The effect of layout topology on single-event transient pulse quenching in a 65 nm bulk CMOS process. IEEE Transactions on Nuclear Science, 57 (6): 3380–3385, Dec 2010. ISSN 0018-9499. doi: https://doi.org/10.1109/TNS.2010.2085449. J. R. Ahlbin, M. J. Gadlage, D. R. Ball, A. W. Witulski, B. L. Bhuva, R. A. Reed, G. Vizkelethy, and L. W. Massengill. The effect of layout topology on single-event transient pulse quenching in a 65 nm bulk CMOS process. IEEE Transactions on Nuclear Science, 57 (6): 3380–3385, Dec 2010. ISSN 0018-9499. doi: https://​doi.​org/​10.​1109/​TNS.​2010.​2085449.
22.
go back to reference Ygor Q. Aguiar, Frédéric Wrobel, J-L Autran, Paul Leroux, Frédéric Saigné, Antoine D Touboul, and Vincent Pouget. Analysis of the charge sharing effect in the SET sensitivity of bulk 45 nm standard cell layouts under heavy ions. Microelectronics Reliability, 88: 920–924, 2018. Ygor Q. Aguiar, Frédéric Wrobel, J-L Autran, Paul Leroux, Frédéric Saigné, Antoine D Touboul, and Vincent Pouget. Analysis of the charge sharing effect in the SET sensitivity of bulk 45 nm standard cell layouts under heavy ions. Microelectronics Reliability, 88: 920–924, 2018.
23.
go back to reference Lukas PPP Van Ginneken. Buffer placement in distributed RC-tree networks for minimal elmore delay. In IEEE International Symposium on Circuits and Systems, pages 865–868. IEEE, 1990. Lukas PPP Van Ginneken. Buffer placement in distributed RC-tree networks for minimal elmore delay. In IEEE International Symposium on Circuits and Systems, pages 865–868. IEEE, 1990.
24.
go back to reference Prashant Saxena, Noel Menezes, Pasquale Cocchini, and Desmond A Kirkpatrick. Repeater scaling and its impact on CAD. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 23 (4): 451–463, 2006. Prashant Saxena, Noel Menezes, Pasquale Cocchini, and Desmond A Kirkpatrick. Repeater scaling and its impact on CAD. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 23 (4): 451–463, 2006.
25.
go back to reference He Yibai, Chen Shuming, Chen Jianjun, Chi Yaqing, Liang Bin, Liu Biwei, Qin Junrui, Du Yankang, and Huang Pengcheng. Impact of circuit placement on single event transients in 65 nm bulk CMOS technology. IEEE Transactions on Nuclear Science, 59 (6): 2772–2777, 2012.CrossRef He Yibai, Chen Shuming, Chen Jianjun, Chi Yaqing, Liang Bin, Liu Biwei, Qin Junrui, Du Yankang, and Huang Pengcheng. Impact of circuit placement on single event transients in 65 nm bulk CMOS technology. IEEE Transactions on Nuclear Science, 59 (6): 2772–2777, 2012.CrossRef
26.
go back to reference Ricardo Reis. Power consumption & reliability in nanoCMOS. In 2011 11th IEEE International Conference on Nanotechnology, pages 711–714. IEEE, 2011. Ricardo Reis. Power consumption & reliability in nanoCMOS. In 2011 11th IEEE International Conference on Nanotechnology, pages 711–714. IEEE, 2011.
27.
go back to reference Y. Q. Aguiar, Frédéric Wrobel, J-L Autran, Paul Leroux, Frédéric Saigné, AD Touboul, and Vincent Pouget. Impact of complex-logic cell layout on the single-event transient sensitivity. IEEE Transactions on Nuclear Science, 66 (7): 1465–1472, 2019. Y. Q. Aguiar, Frédéric Wrobel, J-L Autran, Paul Leroux, Frédéric Saigné, AD Touboul, and Vincent Pouget. Impact of complex-logic cell layout on the single-event transient sensitivity. IEEE Transactions on Nuclear Science, 66 (7): 1465–1472, 2019.
28.
go back to reference Farid N Najm. Improved estimation of the switching activity for reliability prediction in VLSI circuits. In Proceedings of IEEE Custom Integrated Circuits Conference-CICC’94, pages 429–432. IEEE, 1994. Farid N Najm. Improved estimation of the switching activity for reliability prediction in VLSI circuits. In Proceedings of IEEE Custom Integrated Circuits Conference-CICC’94, pages 429–432. IEEE, 1994.
29.
go back to reference Denis Teixeira Franco, Mai Correia Vasconcelos, Lirida Naviner, and Jean-François Naviner. Reliability analysis of logic circuits based on signal probability. In 2008 15th IEEE International Conference on Electronics, Circuits and Systems, pages 670–673. IEEE, 2008a. Denis Teixeira Franco, Mai Correia Vasconcelos, Lirida Naviner, and Jean-François Naviner. Reliability analysis of logic circuits based on signal probability. In 2008 15th IEEE International Conference on Electronics, Circuits and Systems, pages 670–673. IEEE, 2008a.
30.
go back to reference Rafael Schvittz, Denis T Franco, Leomar S Rosa, and Paulo F Butzen. Probabilistic method for reliability estimation of SP-networks considering single event transient faults. In 2018 25th IEEE International Conference on Electronics, Circuits and Systems (ICECS), pages 357–360. IEEE, 2018. Rafael Schvittz, Denis T Franco, Leomar S Rosa, and Paulo F Butzen. Probabilistic method for reliability estimation of SP-networks considering single event transient faults. In 2018 25th IEEE International Conference on Electronics, Circuits and Systems (ICECS), pages 357–360. IEEE, 2018.
31.
go back to reference Abhijit Ghosh, Srinivas Devadas, Kurt Keutzer, and Jacob White. Estimation of average switching activity in combinational and sequential circuits. In DAC, volume 29, pages 253–269, 1992. Abhijit Ghosh, Srinivas Devadas, Kurt Keutzer, and Jacob White. Estimation of average switching activity in combinational and sequential circuits. In DAC, volume 29, pages 253–269, 1992.
32.
go back to reference Massoud Pedram. Power minimization in IC design: Principles and applications. ACM Transactions on Design Automation of Electronic Systems (TODAES), 1 (1): 3–56, 1996.CrossRef Massoud Pedram. Power minimization in IC design: Principles and applications. ACM Transactions on Design Automation of Electronic Systems (TODAES), 1 (1): 3–56, 1996.CrossRef
33.
go back to reference Bao Liu. Signal probability based statistical timing analysis. In Proceedings of the conference on Design, automation and test in Europe, pages 562–567, 2008. Bao Liu. Signal probability based statistical timing analysis. In Proceedings of the conference on Design, automation and test in Europe, pages 562–567, 2008.
34.
go back to reference Ygor Q. Aguiar, Frédéric Wrobel, Jean-Luc Autran, Paul Leroux, Frédéric Saigné, Vincent Pouget, and Antoine D Touboul. Mitigation and predictive assessment of set immunity of digital logic circuits for space missions. Aerospace, 7 (2): 12, 2020a. Ygor Q. Aguiar, Frédéric Wrobel, Jean-Luc Autran, Paul Leroux, Frédéric Saigné, Vincent Pouget, and Antoine D Touboul. Mitigation and predictive assessment of set immunity of digital logic circuits for space missions. Aerospace, 7 (2): 12, 2020a.
35.
go back to reference Sreejit Chakravarty and Harry B Hunt. On computing signal probability and detection probability of stuck-at faults. IEEE Transactions on Computers, 39 (11): 1369–1377, 1990. Sreejit Chakravarty and Harry B Hunt. On computing signal probability and detection probability of stuck-at faults. IEEE Transactions on Computers, 39 (11): 1369–1377, 1990.
36.
go back to reference Denis Teixeira Franco, Maí Correia Vasconcelos, Lirida Naviner, and Jean-François Naviner. Signal probability for reliability evaluation of logic circuits. Microelectronics Reliability, 48 (8-9): 1586–1591, 2008b. Denis Teixeira Franco, Maí Correia Vasconcelos, Lirida Naviner, and Jean-François Naviner. Signal probability for reliability evaluation of logic circuits. Microelectronics Reliability, 48 (8-9): 1586–1591, 2008b.
37.
go back to reference Sunil P Khatri and Kanupriya Gulati. Advanced Techniques in Logic Synthesis, Optimizations and Applications. Springer, 2011. Sunil P Khatri and Kanupriya Gulati. Advanced Techniques in Logic Synthesis, Optimizations and Applications. Springer, 2011.
38.
go back to reference André Inácio Reis and Rolf Drechsler. Advanced Logic Synthesis. Springer, 2018. André Inácio Reis and Rolf Drechsler. Advanced Logic Synthesis. Springer, 2018.
39.
go back to reference Kenneth P. Parker and Edward J. McCluskey. Probabilistic treatment of general combinational networks. IEEE Transactions on Computers, 100 (6): 668–670, 1975.MathSciNetCrossRef Kenneth P. Parker and Edward J. McCluskey. Probabilistic treatment of general combinational networks. IEEE Transactions on Computers, 100 (6): 668–670, 1975.MathSciNetCrossRef
40.
go back to reference Y. Q. Aguiar, F. Wrobel, J.-L. Autran, P. Leroux, F. Saigné, V. Pouget, and A. D. Touboul. Reliability-driven pin assignment optimization to improve in-orbit soft-error rate. Microelectronics Reliability, 114: 113885, 2020b.CrossRef Y. Q. Aguiar, F. Wrobel, J.-L. Autran, P. Leroux, F. Saigné, V. Pouget, and A. D. Touboul. Reliability-driven pin assignment optimization to improve in-orbit soft-error rate. Microelectronics Reliability, 114: 113885, 2020b.CrossRef
41.
go back to reference Frédéric Wrobel and Frédéric Saigné. MC-ORACLE: A tool for predicting soft error rate. Computer Physics Communications, 182 (2): 317–321, 2011.CrossRef Frédéric Wrobel and Frédéric Saigné. MC-ORACLE: A tool for predicting soft error rate. Computer Physics Communications, 182 (2): 317–321, 2011.CrossRef
43.
go back to reference ECSS Secretariat. Space engineering: Calculation of radiation and its effects and margin policy handbook - ECSS-E-HB-10-12A. 2010. ECSS Secretariat. Space engineering: Calculation of radiation and its effects and margin policy handbook - ECSS-E-HB-10-12A. 2010.
44.
go back to reference Aircraft Technical Committee ISO/TC 20, Space systems space vehicles, Subcommittee SC 14, and operations. ISO-15390: 2004. Space environment (natural and artificial)-galactic cosmic ray model, 2004. Aircraft Technical Committee ISO/TC 20, Space systems space vehicles, Subcommittee SC 14, and operations. ISO-15390: 2004. Space environment (natural and artificial)-galactic cosmic ray model, 2004.
45.
go back to reference Donald M Sawyer and James I Vette. AP-8 trapped proton environment for solar maximum and solar minimum. Technical report, National Aeronautics and Space Administration, 1976. Donald M Sawyer and James I Vette. AP-8 trapped proton environment for solar maximum and solar minimum. Technical report, National Aeronautics and Space Administration, 1976.
46.
go back to reference Y. Q. Aguiar, F. Wrobel, J.-L. Autran, P. Leroux, F. Saigné, V. Pouget, and A. D. Touboul. Design exploration of majority voter architectures based on the signal probability for TMR strategy optimization in space applications. Microelectronics Reliability, 114: 113877, 2020c.CrossRef Y. Q. Aguiar, F. Wrobel, J.-L. Autran, P. Leroux, F. Saigné, V. Pouget, and A. D. Touboul. Design exploration of majority voter architectures based on the signal probability for TMR strategy optimization in space applications. Microelectronics Reliability, 114: 113877, 2020c.CrossRef
Metadata
Title
Analysis of Circuit-Based RHBD Techniques
Authors
Ygor Quadros de Aguiar
Frédéric Wrobel
Jean-Luc Autran
Rubén García Alía
Copyright Year
2025
DOI
https://doi.org/10.1007/978-3-031-71723-9_6