Skip to main content
Top

2019 | OriginalPaper | Chapter

7. Approximate Logic Synthesis Using Boolean Matrix Factorization

Authors : Soheil Hashemi, Hokchhay Tann, Sherief Reda

Published in: Approximate Circuits

Publisher: Springer International Publishing

Activate our intelligent search to find suitable subject content or patents.

search-config
loading …

Abstract

In this chapter, a new approximate circuit synthesis paradigm is presented, where approximations are introduced to the input circuit using Boolean matrix factorization (BMF). For a given multi-input, multi-output circuit, we first build its truth table and then approximate the truth table using BMF in a controllable fashion. The results of the BMF factorization are then used to synthesize the final approximate circuit. To scale our technique to large circuits, we devise a circuit decomposition method that breaks the circuit into manageable subcircuits. Furthermore, to effectively explore the design space of subcircuit approximations, a design space exploration technique is presented. Our approach offers a wide range of fine-grain trade-offs between accuracy and design complexity, i.e., design area and total power. We demonstrate that the proposed methodology can achieve large savings in power and area with small reductions in accuracy.

Dont have a licence yet? Then find out more about our products and how to get one now:

Springer Professional "Wirtschaft+Technik"

Online-Abonnement

Mit Springer Professional "Wirtschaft+Technik" erhalten Sie Zugriff auf:

  • über 102.000 Bücher
  • über 537 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Maschinenbau + Werkstoffe
  • Versicherung + Risiko

Jetzt Wissensvorsprung sichern!

Springer Professional "Technik"

Online-Abonnement

Mit Springer Professional "Technik" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 390 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Maschinenbau + Werkstoffe




 

Jetzt Wissensvorsprung sichern!

Literature
1.
go back to reference Cong J, Ding Y (1994) Flowmap: an optimal technology mapping algorithm for delay optimization in lookup-table based FPGA designs. IEEE Trans CAD Integr Circuits Syst 13:1–12CrossRef Cong J, Ding Y (1994) Flowmap: an optimal technology mapping algorithm for delay optimization in lookup-table based FPGA designs. IEEE Trans CAD Integr Circuits Syst 13:1–12CrossRef
2.
go back to reference Hashemi S, Bahar RI, Reda S (2015) Drum: a dynamic range unbiased multiplier for approximate applications. In: Proceedings of the IEEE/ACM international conference on computer-aided design, ICCAD ’15. IEEE Press, Piscataway, pp 418–425 Hashemi S, Bahar RI, Reda S (2015) Drum: a dynamic range unbiased multiplier for approximate applications. In: Proceedings of the IEEE/ACM international conference on computer-aided design, ICCAD ’15. IEEE Press, Piscataway, pp 418–425
3.
go back to reference Hu J, Qian W (2015) A new approximate adder with low relative error and correct sign calculation. In: 2015 design, automation test in Europe conference exhibition (DATE), pp 1449–1454 Hu J, Qian W (2015) A new approximate adder with low relative error and correct sign calculation. In: 2015 design, automation test in Europe conference exhibition (DATE), pp 1449–1454
4.
go back to reference Imani M, Peroni D, Rosing T (2017) CFPU: configurable floating point multiplier for energy-efficient computing. In: Proceedings of the 54th annual design automation conference 2017, DAC ’17. ACM, New York, pp 76:1–76:6. Imani M, Peroni D, Rosing T (2017) CFPU: configurable floating point multiplier for energy-efficient computing. In: Proceedings of the 54th annual design automation conference 2017, DAC ’17. ACM, New York, pp 76:1–76:6.
5.
go back to reference Kahng AB, Kang S (2012) Accuracy-configurable adder for approximate arithmetic designs. In: DAC design automation conference 2012, pp 820–825 Kahng AB, Kang S (2012) Accuracy-configurable adder for approximate arithmetic designs. In: DAC design automation conference 2012, pp 820–825
6.
go back to reference Lee DD, Seung HS (1999) Learning the parts of objects by non-negative matrix factorization. Nature 401:788–791CrossRef Lee DD, Seung HS (1999) Learning the parts of objects by non-negative matrix factorization. Nature 401:788–791CrossRef
7.
go back to reference Lee S, John LK, Gerstaluer A (2017) High-level synthesis of approximate hardware under joint precision and voltage scaling. In: Design, automation and test in Europe Lee S, John LK, Gerstaluer A (2017) High-level synthesis of approximate hardware under joint precision and voltage scaling. In: Design, automation and test in Europe
8.
go back to reference Li C, Luo W, Sapatnekar SS, Hu J (2015) Joint precision optimization and high level synthesis for approximate computing. In: Design automation conference, pp 104:1–104:6 Li C, Luo W, Sapatnekar SS, Hu J (2015) Joint precision optimization and high level synthesis for approximate computing. In: Design automation conference, pp 104:1–104:6
9.
go back to reference Liu W, Qian L, Wang C, Jiang H, Han J, Lombardi F (2017) Design of approximate radix-4 booth multipliers for error-tolerant computing. IEEE Trans Comput 66(8):1435–1441MathSciNetCrossRef Liu W, Qian L, Wang C, Jiang H, Han J, Lombardi F (2017) Design of approximate radix-4 booth multipliers for error-tolerant computing. IEEE Trans Comput 66(8):1435–1441MathSciNetCrossRef
10.
go back to reference Martinello O, Ribas RP, Marque F, Reis A (2010) Kl-cuts: a new approach for logic synthesis targeting multiple output blocks. In: Design automation test in Europe, pp 777–782 Martinello O, Ribas RP, Marque F, Reis A (2010) Kl-cuts: a new approach for logic synthesis targeting multiple output blocks. In: Design automation test in Europe, pp 777–782
11.
go back to reference Miao J, Gerstlauer A, Orshansky M (2013) Approximate logic synthesis under general error magnitude and frequency constraints. In: Proceedings of the international conference on computer-aided design, pp 779–786 Miao J, Gerstlauer A, Orshansky M (2013) Approximate logic synthesis under general error magnitude and frequency constraints. In: Proceedings of the international conference on computer-aided design, pp 779–786
12.
go back to reference Miao J, Gerstlauer A, Orshansky M (2014) Multi-level approximate logic synthesis under general error constraints. In: International conference on computer-aided design, pp 504–510 Miao J, Gerstlauer A, Orshansky M (2014) Multi-level approximate logic synthesis under general error constraints. In: International conference on computer-aided design, pp 504–510
13.
go back to reference Miettinen P, Vreeken J (2011) Model order selection for boolean matrix factorization. In: Proceedings of the 17th ACM SIGKDD international conference on Knowledge discovery and data mining, pp 51–59 Miettinen P, Vreeken J (2011) Model order selection for boolean matrix factorization. In: Proceedings of the 17th ACM SIGKDD international conference on Knowledge discovery and data mining, pp 51–59
14.
go back to reference Miettinen P, Vreeken J (2014) MDL4BMF: minimum description length for boolean matrix factorization. ACM Trans Knowl Discov Data 8(4):18:1–18:31CrossRef Miettinen P, Vreeken J (2014) MDL4BMF: minimum description length for boolean matrix factorization. ACM Trans Knowl Discov Data 8(4):18:1–18:31CrossRef
15.
go back to reference Nepal K, Li Y, Bahar RI, Reda S (2014) ABACUS: a technique for automated behavioral synthesis of approximate computing circuits. In: Design, automation and test in Europe, pp 1–6 Nepal K, Li Y, Bahar RI, Reda S (2014) ABACUS: a technique for automated behavioral synthesis of approximate computing circuits. In: Design, automation and test in Europe, pp 1–6
16.
go back to reference Ranjan A, Raha A, Venkataramani S, Roy K, Raghunathan A (2014) ASLAN: synthesis of approximate sequential circuits. In: Design, automation & test in Europe conference, pp 1–6 Ranjan A, Raha A, Venkataramani S, Roy K, Raghunathan A (2014) ASLAN: synthesis of approximate sequential circuits. In: Design, automation & test in Europe conference, pp 1–6
17.
go back to reference Hashemi S, Tann H, Reda S, (2018) BLASYS: approximate logic synthesis using boolean matrix factorization. In: Design automation conference, pp 1–6 Hashemi S, Tann H, Reda S, (2018) BLASYS: approximate logic synthesis using boolean matrix factorization. In: Design automation conference, pp 1–6
18.
go back to reference Venkataramani S, Sabne A, Kozhikkottu V, Roy K, Raghunathan A (2012) Salsa: systematic logic synthesis of approximate circuits. In: DAC design automation conference 2012, pp 796–801 Venkataramani S, Sabne A, Kozhikkottu V, Roy K, Raghunathan A (2012) Salsa: systematic logic synthesis of approximate circuits. In: DAC design automation conference 2012, pp 796–801
19.
go back to reference Venkataramani S, Roy K, Raghunathan A (2013) Substitute-and-simplify: a unified design paradigm for approximate and quality configurable circuits. In: Design, automation and test in Europe, pp 1367–1372 Venkataramani S, Roy K, Raghunathan A (2013) Substitute-and-simplify: a unified design paradigm for approximate and quality configurable circuits. In: Design, automation and test in Europe, pp 1367–1372
21.
go back to reference Xu W, Liu X, Gong Y (2003) Document clustering based on non-negative matrix factorization. In: ACM SIGIR conference on research and development in information retrieval, pp 267–273 Xu W, Liu X, Gong Y (2003) Document clustering based on non-negative matrix factorization. In: ACM SIGIR conference on research and development in information retrieval, pp 267–273
Metadata
Title
Approximate Logic Synthesis Using Boolean Matrix Factorization
Authors
Soheil Hashemi
Hokchhay Tann
Sherief Reda
Copyright Year
2019
DOI
https://doi.org/10.1007/978-3-319-99322-5_7