Skip to main content
Top

2016 | OriginalPaper | Chapter

3. Building a Dynamically Reconfigurable System Through a High-Level Development Flow

Authors : David de la Fuente, Jesús Barba, Julián Caba, Pablo Peñil, Juan Carlos López, Pablo Sánchez

Published in: Languages, Design Methods, and Tools for Electronic System Design

Publisher: Springer International Publishing

Activate our intelligent search to find suitable subject content or patents.

search-config
loading …

Abstract

Partial Reconfiguration is one of the most attractive features of FPGAs. This feature provides new computing possibilities such as the reduction of the total area required in a FPGA, by means of functioning overlapping, or the modification of the design after its deployment, without the need of configuring completely the system and, therefore, stop its operation. However, the design of partial reconfigurable systems is still a complex task. This work focuses on facilitating the design process of dynamic partially reconfigurable systems and proposes a new development framework using high-level UML/MARTE models. Simulation and VHDL implementation code are generated from these close-to-solution-domain models, according to the specification requirements of the reconfigurable hardware captured in the specifications. To demonstrate this approach, an edge detection-based use case has been implemented with the developed framework showing an efficient outcome and achieving an accurate estimation of resources and expected performance.

Dont have a licence yet? Then find out more about our products and how to get one now:

Springer Professional "Wirtschaft+Technik"

Online-Abonnement

Mit Springer Professional "Wirtschaft+Technik" erhalten Sie Zugriff auf:

  • über 102.000 Bücher
  • über 537 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Maschinenbau + Werkstoffe
  • Versicherung + Risiko

Jetzt Wissensvorsprung sichern!

Springer Professional "Technik"

Online-Abonnement

Mit Springer Professional "Technik" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 390 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Maschinenbau + Werkstoffe




 

Jetzt Wissensvorsprung sichern!

Literature
1.
go back to reference Bobda, C.: Introduction to Reconfigurable Computing: Architectures, Algorithms, and Applications. Springer Publishing Company, New York, Incorporated (2007). ISBN: 1402060882, ISBN: 9781402060885 Bobda, C.: Introduction to Reconfigurable Computing: Architectures, Algorithms, and Applications. Springer Publishing Company, New York, Incorporated (2007). ISBN: 1402060882, ISBN: 9781402060885
2.
go back to reference Dye, D.: Partial Reconfiguration of Xilinx FPGAs using ISE Design Suite (UG702). Xilinx (2011) Dye, D.: Partial Reconfiguration of Xilinx FPGAs using ISE Design Suite (UG702). Xilinx (2011)
3.
go back to reference Wolf, W.: High-Performance Embedded Computing: Architectures, Applications, and Methodologies. Princeton University, Princeton (2006)MATH Wolf, W.: High-Performance Embedded Computing: Architectures, Applications, and Methodologies. Princeton University, Princeton (2006)MATH
4.
go back to reference Xilinx: Partial Reconfiguration User Guide. Xilinx (2011) Xilinx: Partial Reconfiguration User Guide. Xilinx (2011)
5.
go back to reference Steiner, N., Wood, A., Shojaei, H., Couch, J., Athanas, P., French, M.: Torc: towards an open-source tool flow. In: Nineteenth ACM/SIGDA International Symposium on Field-Programmable Gate Arrays (2011)CrossRef Steiner, N., Wood, A., Shojaei, H., Couch, J., Athanas, P., French, M.: Torc: towards an open-source tool flow. In: Nineteenth ACM/SIGDA International Symposium on Field-Programmable Gate Arrays (2011)CrossRef
6.
go back to reference Cervero, T., Lopez, S., Sarmiento, R., Frangieh, T., Athanas, P.: Scalable models for autonomous self-assembled reconfigurable systems. In: International Conference on ReConFigurable Computing and FPGA (2011)CrossRef Cervero, T., Lopez, S., Sarmiento, R., Frangieh, T., Athanas, P.: Scalable models for autonomous self-assembled reconfigurable systems. In: International Conference on ReConFigurable Computing and FPGA (2011)CrossRef
7.
go back to reference Martin, G., Bailey, B., Piziali, A.: ESL Design and Verification: A Prescription for Electronic System Level Methodology (Systems on Silicon) (2007). ISBN-10: 0123735513 Martin, G., Bailey, B., Piziali, A.: ESL Design and Verification: A Prescription for Electronic System Level Methodology (Systems on Silicon) (2007). ISBN-10: 0123735513
8.
go back to reference Vanderperren, Y., Mueller, W., Dehaene, W.: UML for electronic systems design: a comprehensive overview. Des. Autom. Embed. Syst. 12 (4), 261–292 (2008)CrossRef Vanderperren, Y., Mueller, W., Dehaene, W.: UML for electronic systems design: a comprehensive overview. Des. Autom. Embed. Syst. 12 (4), 261–292 (2008)CrossRef
11.
go back to reference Flynn, A., Gordon-Ross, A., George, A.D.: Bitstream relocation with local clock domains for partially reconfigurable FPGAs. In: Proceedings of the Conference on Design, Automation and Test in Europe (DATE) (2009) Flynn, A., Gordon-Ross, A., George, A.D.: Bitstream relocation with local clock domains for partially reconfigurable FPGAs. In: Proceedings of the Conference on Design, Automation and Test in Europe (DATE) (2009)
12.
go back to reference Hubner, M., Gohringer, D., Noguera, J., Becker, J.: Fast dynamic and partial reconfiguration data path with low hardware overhead on Xilin FPGAs. IEEE International Symposium on Parallel and Distributed Processing (2010) Hubner, M., Gohringer, D., Noguera, J., Becker, J.: Fast dynamic and partial reconfiguration data path with low hardware overhead on Xilin FPGAs. IEEE International Symposium on Parallel and Distributed Processing (2010)
13.
go back to reference Noguera, J., Badia, R.M.: Multitasking on reconfigurable architectures: microarchitecture support and dynamic scheduling. ACM Trans. Embed. Comput. Syst. 3 (2), 385–406 (2004)CrossRef Noguera, J., Badia, R.M.: Multitasking on reconfigurable architectures: microarchitecture support and dynamic scheduling. ACM Trans. Embed. Comput. Syst. 3 (2), 385–406 (2004)CrossRef
14.
go back to reference Redaelli, F., Santambrogio, M.D., Sciuto, D.: Task scheduling with configuration prefetching and anti-fragmentation techniques on dynamically reconfigurable systems. In: Proceedings of the Conference on Design, Automation and Test in Europe (DATE) (2008) Redaelli, F., Santambrogio, M.D., Sciuto, D.: Task scheduling with configuration prefetching and anti-fragmentation techniques on dynamically reconfigurable systems. In: Proceedings of the Conference on Design, Automation and Test in Europe (DATE) (2008)
15.
go back to reference Viswanathan, V., Atitallah, R.B., Dekeyser, J.L.: Dynamic reconfiguration of modular I/O IP cores for avionic applications. Conference on Reconfigurable Computing and FPGAs (ReConFig) (2012) Viswanathan, V., Atitallah, R.B., Dekeyser, J.L.: Dynamic reconfiguration of modular I/O IP cores for avionic applications. Conference on Reconfigurable Computing and FPGAs (ReConFig) (2012)
16.
go back to reference Dondo, J.D., Rincon, F., Valderrama, C., Villanueva, F.J., Caba, J., Lopez, J.C.: Facilitating preemptive hardware system design using partial reconfiguration techniques. Sci. World J. (2013) Dondo, J.D., Rincon, F., Valderrama, C., Villanueva, F.J., Caba, J., Lopez, J.C.: Facilitating preemptive hardware system design using partial reconfiguration techniques. Sci. World J. (2013)
18.
go back to reference Vanderperren, Y., Mueller, W., Dehaene, W.: UML for Electronic Systems Design: a comprehensive overview. J. Des. Autom. Embed. Syst. 12, 261–292 (2008)CrossRef Vanderperren, Y., Mueller, W., Dehaene, W.: UML for Electronic Systems Design: a comprehensive overview. J. Des. Autom. Embed. Syst. 12, 261–292 (2008)CrossRef
19.
go back to reference Bruschi, F., Di Nitto, E., Sciuto, D.: SystemC Code Generation from UML Models. Forum on Specification and Design Languages Best of FDL’02. Kluwer Academic, Boston/Dordrecht/London (2003) Bruschi, F., Di Nitto, E., Sciuto, D.: SystemC Code Generation from UML Models. Forum on Specification and Design Languages Best of FDL’02. Kluwer Academic, Boston/Dordrecht/London (2003)
20.
go back to reference Muller, W., et al.: The SATURN approach to sysML-based HW/SW codesign. IEEE Annual Symposium on VLSI, ISVLSI (2010)CrossRef Muller, W., et al.: The SATURN approach to sysML-based HW/SW codesign. IEEE Annual Symposium on VLSI, ISVLSI (2010)CrossRef
21.
go back to reference Bocchio, S., Riccobene, E., Rosti, A., Scandurra, P.: A SoC design flow based on UML 2.0 and SystemC. In: DAC, Workshop UML-Sock’05 Bocchio, S., Riccobene, E., Rosti, A., Scandurra, P.: A SoC design flow based on UML 2.0 and SystemC. In: DAC, Workshop UML-Sock’05
22.
go back to reference Harel, D., Kugler, H., Pnueli, A.: Synthesis revisited: generating statechart models from scenario-based requirements. Formal Methods in Software and System Modeling. Springer, Heidelberg (2005)MATH Harel, D., Kugler, H., Pnueli, A.: Synthesis revisited: generating statechart models from scenario-based requirements. Formal Methods in Software and System Modeling. Springer, Heidelberg (2005)MATH
23.
go back to reference Adamski, M.: Design of reconfigurable logic controllers from hierarchical UML state machines. 2009 4th IEEE Conference on Industrial Electronics and Applications (ICIEA) (2009) Adamski, M.: Design of reconfigurable logic controllers from hierarchical UML state machines. 2009 4th IEEE Conference on Industrial Electronics and Applications (ICIEA) (2009)
24.
go back to reference Vidal, J., de Lamotte, F., Gogniat, G., Soulard, P., Diguet, J.P.: A code-design approach for embedded system modelling and code generation with UML and MARTE”. In: Proceedings of the Conference on Design, Automation and Test in Europe (DATE). Dresden (2009) Vidal, J., de Lamotte, F., Gogniat, G., Soulard, P., Diguet, J.P.: A code-design approach for embedded system modelling and code generation with UML and MARTE”. In: Proceedings of the Conference on Design, Automation and Test in Europe (DATE). Dresden (2009)
25.
go back to reference Piel, E., Atitallah, R., Marquet, P., Meftali, S., Niar, S., Etien, A., Dekeyser, J.-L., Boulet, P.: Gaspard2: from MARTE to SystemC Simulation. In: Proceedings of the DATE’08 workshop on Modeling and Analysis of Real-Time and Embedded Systems with the MARTE UML profile (2008) Piel, E., Atitallah, R., Marquet, P., Meftali, S., Niar, S., Etien, A., Dekeyser, J.-L., Boulet, P.: Gaspard2: from MARTE to SystemC Simulation. In: Proceedings of the DATE’08 workshop on Modeling and Analysis of Real-Time and Embedded Systems with the MARTE UML profile (2008)
26.
go back to reference Quadri, I.R., Huafeng, Y., Gamatie, A., Rutten, E., Meftali, S., Dekeyser, J.-L.: Targeting reconfigurable FPGA based SoCs using the UML MARTE profile: from high abstraction levels to code generation. Int. J. Embed. Syst. 4 (3–4), 204–224 (2010)CrossRef Quadri, I.R., Huafeng, Y., Gamatie, A., Rutten, E., Meftali, S., Dekeyser, J.-L.: Targeting reconfigurable FPGA based SoCs using the UML MARTE profile: from high abstraction levels to code generation. Int. J. Embed. Syst. 4 (3–4), 204–224 (2010)CrossRef
27.
go back to reference Leite, M., Vasconcellos, C.D., Wehrmeister, M.A.: Enhancing automatic generation of VHDL descriptions from UML/MARTE models. In: 12th IEEE International Conference on Industrial Informatics (INDIN) (2014) Leite, M., Vasconcellos, C.D., Wehrmeister, M.A.: Enhancing automatic generation of VHDL descriptions from UML/MARTE models. In: 12th IEEE International Conference on Industrial Informatics (INDIN) (2014)
31.
go back to reference Szyperski, C.: Component Software: Beyond Object-Oriented Programming. Addison-Wesley Professional, Boston (2002) Szyperski, C.: Component Software: Beyond Object-Oriented Programming. Addison-Wesley Professional, Boston (2002)
32.
go back to reference Schmidt, D.C.: Model-driven engineering. IEEE Comput. 39 (2), 25–31 (2006)CrossRef Schmidt, D.C.: Model-driven engineering. IEEE Comput. 39 (2), 25–31 (2006)CrossRef
33.
go back to reference Posadas, H., Peñil, P., Nicolás, A., Villar, E.: Automatic synthesis of embedded SW for evaluating physical implementation alternatives from UML/MARTE models supporting memory space separation. Microelectron. J. 45 (10), 1281–1291 (2014)CrossRef Posadas, H., Peñil, P., Nicolás, A., Villar, E.: Automatic synthesis of embedded SW for evaluating physical implementation alternatives from UML/MARTE models supporting memory space separation. Microelectron. J. 45 (10), 1281–1291 (2014)CrossRef
34.
go back to reference Dondo, J.D., Barba, J., Rincón, F., Moya, F., López, J.C.: Dynamic objects: supporting fast and easy run-time reconfiguration in FPGAs”. J. Syst. Archi. 59, 1–15 (2013)CrossRef Dondo, J.D., Barba, J., Rincón, F., Moya, F., López, J.C.: Dynamic objects: supporting fast and easy run-time reconfiguration in FPGAs”. J. Syst. Archi. 59, 1–15 (2013)CrossRef
Metadata
Title
Building a Dynamically Reconfigurable System Through a High-Level Development Flow
Authors
David de la Fuente
Jesús Barba
Julián Caba
Pablo Peñil
Juan Carlos López
Pablo Sánchez
Copyright Year
2016
DOI
https://doi.org/10.1007/978-3-319-31723-6_3