Skip to main content
Top

2015 | OriginalPaper | Chapter

5. Bus Coding Techniques

Author : Sandeep Saini

Published in: Low Power Interconnect Design

Publisher: Springer New York

Activate our intelligent search to find suitable subject content or patents.

search-config
loading …

Abstract

In deep sub-micron technology, minimizing the propagation delay and power consumption on buses is the most important design objective in system-on-chip design. In particular, the coupling effects between wires on the bus can cause serious problems such as crosstalk delay, noise and power consumption. One of the fastest growing areas in computing industry is the provision of high throughput low power digital signal processing (DSP) and Communication systems.

Dont have a licence yet? Then find out more about our products and how to get one now:

Springer Professional "Wirtschaft+Technik"

Online-Abonnement

Mit Springer Professional "Wirtschaft+Technik" erhalten Sie Zugriff auf:

  • über 102.000 Bücher
  • über 537 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Maschinenbau + Werkstoffe
  • Versicherung + Risiko

Jetzt Wissensvorsprung sichern!

Springer Professional "Technik"

Online-Abonnement

Mit Springer Professional "Technik" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 390 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Maschinenbau + Werkstoffe




 

Jetzt Wissensvorsprung sichern!

Literature
1.
go back to reference A.P.Chandrakasan and RW Brodersen, “Low-Power Digital CMOS Design”, Kluwer Academic Publishers, Boston, 1995. A.P.Chandrakasan and RW Brodersen, “Low-Power Digital CMOS Design”, Kluwer Academic Publishers, Boston, 1995.
2.
go back to reference C.L. Su, C. Y. Tsui, and A. M. Despain, “Saving Power in the Control Path of Embedded Processors”, IEEE Design and Test of Computers, Vol. 11, No. 4, pp. 24–30, Winter 1994.CrossRef C.L. Su, C. Y. Tsui, and A. M. Despain, “Saving Power in the Control Path of Embedded Processors”, IEEE Design and Test of Computers, Vol. 11, No. 4, pp. 24–30, Winter 1994.CrossRef
3.
go back to reference Luca Benini, Giovanni de Micheli, Enrico Macii, Donatella Sciuto, Cristina Silvano, “Asymptotic Zero-Transition Activity Encoding for Address Busses in Low- Power Microprocessor-Based Systems”, Proceedings of the 7th Great Lakes Symposium on VLSI, p.77, March 13–15, 1997 Luca Benini, Giovanni de Micheli, Enrico Macii, Donatella Sciuto, Cristina Silvano, “Asymptotic Zero-Transition Activity Encoding for Address Busses in Low- Power Microprocessor-Based Systems”, Proceedings of the 7th Great Lakes Symposium on VLSI, p.77, March 13–15, 1997
4.
go back to reference L. Benini, G. De Micheli, E. Macii, D. Sciuto, C. Silvano, “Address bus encoding techniques for system-level power optimization”, Proceedings of the conference on Design, automation and test in Europe, p.861–867, February 23–26, 1998. L. Benini, G. De Micheli, E. Macii, D. Sciuto, C. Silvano, “Address bus encoding techniques for system-level power optimization”, Proceedings of the conference on Design, automation and test in Europe, p.861–867, February 23–26, 1998.
5.
go back to reference H. Lekatsas, CCRL and J. Henkel, CCRL, “ETAM++: Extended Transition Activity Measure for Low Power Address Bus Designs”, Proceedings of the 15th International Conference on VLSI Design pp.1–8, 2002 H. Lekatsas, CCRL and J. Henkel, CCRL, “ETAM++: Extended Transition Activity Measure for Low Power Address Bus Designs”, Proceedings of the 15th International Conference on VLSI Design pp.1–8, 2002
6.
go back to reference Mircea R. Stan and Wayne P. Burleson, “Bus Invert Coding For Low Power I/O”, IEEE Transaction on VLSI Systems, pp. 49–58, March 1995. Mircea R. Stan and Wayne P. Burleson, “Bus Invert Coding For Low Power I/O”, IEEE Transaction on VLSI Systems, pp. 49–58, March 1995.
7.
go back to reference T. Lv, W. Wolf, J. Henkel, H. Lekatsas, “An Adaptive Dictionary Encoding Scheme for SOC Data Buses”, Proceedings of the conference on Design, automation and test in Europe, p.1059, March 04–08, 2002. T. Lv, W. Wolf, J. Henkel, H. Lekatsas, “An Adaptive Dictionary Encoding Scheme for SOC Data Buses”, Proceedings of the conference on Design, automation and test in Europe, p.1059, March 04–08, 2002.
8.
go back to reference N.K. Samala, R. Damu and B.Izadi “Novel Deep Submicron Bus Coding for Low Energy” In Proceedings of the Embedded System and Applications, pp. 25–30 June 2004. N.K. Samala, R. Damu and B.Izadi “Novel Deep Submicron Bus Coding for Low Energy” In Proceedings of the Embedded System and Applications, pp. 25–30 June 2004.
9.
go back to reference Yan Zhang, John Lach, Kevin Skadron, Mircea R. Stan, “Odd/even bus invert with two-phase transfer for buses with coupling”, Proceedings of the 2002 international symposium on Low power electronics and design, August 12–14, 2002, Monterey, California, USA. Yan Zhang, John Lach, Kevin Skadron, Mircea R. Stan, “Odd/even bus invert with two-phase transfer for buses with coupling”, Proceedings of the 2002 international symposium on Low power electronics and design, August 12–14, 2002, Monterey, California, USA.
10.
go back to reference M. Madhu, V. Srinivasa Murty and V. Kamakoti, “Dynamic coding technique for low-power data bus”, Proceedings of IEEE Computer Society Annual Symposium on VLSI, pp 252–253, 2003. M. Madhu, V. Srinivasa Murty and V. Kamakoti, “Dynamic coding technique for low-power data bus”, Proceedings of IEEE Computer Society Annual Symposium on VLSI, pp 252–253, 2003.
11.
go back to reference Jayapreetha Natesan, Damu Radhakrishnan, “Shift Invert Coding (SINV) for Low Power VLSI,” Proceedings of Euromicro Symposium on Digital System Design (DSD’04), pp. 190–194, 2004. Jayapreetha Natesan, Damu Radhakrishnan, “Shift Invert Coding (SINV) for Low Power VLSI,” Proceedings of Euromicro Symposium on Digital System Design (DSD’04), pp. 190–194, 2004.
12.
go back to reference K.S.Sainarayanan, J.V.R.Ravindra, M.B.Srinivas, “A Novel Coupling Driven Low Power Bus Coding Technique for Minimizing capacitive Crosstalk in VLSI Interconnects”, In Proc. of IEEE International Symposium on Circuits and Systems (ISCAS’06), pp.4155–4158, 2006. K.S.Sainarayanan, J.V.R.Ravindra, M.B.Srinivas, “A Novel Coupling Driven Low Power Bus Coding Technique for Minimizing capacitive Crosstalk in VLSI Interconnects”, In Proc. of IEEE International Symposium on Circuits and Systems (ISCAS’06), pp.4155–4158, 2006.
13.
go back to reference K.S.Sainarayanan, J.V.R.Ravindra, Kiran.T.Nath, M.B.Srinivas, “Coding for Minimizing Energy in VLSI Interconnects,” In 18th IEEE International Conference on Microelectronics (ICM) 2006, 16–19 December, King Fahd University of Petroleum and Minerals, Dhahran, Saudi Arabia. K.S.Sainarayanan, J.V.R.Ravindra, Kiran.T.Nath, M.B.Srinivas, “Coding for Minimizing Energy in VLSI Interconnects,” In 18th IEEE International Conference on Microelectronics (ICM) 2006, 16–19 December, King Fahd University of Petroleum and Minerals, Dhahran, Saudi Arabia.
14.
go back to reference G.K. Ma and F.J.Taylor, “Multiplier Policies for Digital Signal Processing”, IEEE Acoustics, Speech and Signal Processing Magazine, pp. 6–20, January, 1990. G.K. Ma and F.J.Taylor, “Multiplier Policies for Digital Signal Processing”, IEEE Acoustics, Speech and Signal Processing Magazine, pp. 6–20, January, 1990.
15.
go back to reference Reza Hashemian “A New Method for conversion of a 2’s complement to Canonic Sign Digit Number System and its Representation,” in Proceedings of Asilomar Conference on Signals, Systems and Computers, pp. 904–907, 1997. Reza Hashemian “A New Method for conversion of a 2’s complement to Canonic Sign Digit Number System and its Representation,” in Proceedings of Asilomar Conference on Signals, Systems and Computers, pp. 904–907, 1997.
16.
go back to reference Saini, Sandeep, et al. “An Alternative approach to buffer insertion for delay and power reduction in VLSI interconnects.” VLSI Design, 2010. VLSID’10. 23rd International Conference on. IEEE, 2010. Saini, Sandeep, et al. “An Alternative approach to buffer insertion for delay and power reduction in VLSI interconnects.” VLSI Design, 2010. VLSID’10. 23rd International Conference on. IEEE, 2010.
17.
go back to reference Saini, Sandeep. A Novel Approach to reduce Delay and Power in VLSI Interconnects. Diss. International Institute of Information Technology Hyderabad, INDIA, 2010. Saini, Sandeep. A Novel Approach to reduce Delay and Power in VLSI Interconnects. Diss. International Institute of Information Technology Hyderabad, INDIA, 2010.
18.
go back to reference Saini, Sandeep, et al. “Schmitt trigger as an alternative to buffer insertion for delay and power reduction in VLSI interconnects.” TENCON 2009-2009 IEEE Region 10 Conference. IEEE, 2009. Saini, Sandeep, et al. “Schmitt trigger as an alternative to buffer insertion for delay and power reduction in VLSI interconnects.” TENCON 2009-2009 IEEE Region 10 Conference. IEEE, 2009.
19.
go back to reference Sandeep Saini, J.V.R Ravindra and M.B. Srinivas, “A New Bus Coding Technique to minimize crosstalk in VLSI Bus”, International Conference on Conference on Electronics Computer Technology (ICECT 2011), April 8th to 10th 2011, Kanyakumari, India. Sandeep Saini, J.V.R Ravindra and M.B. Srinivas, “A New Bus Coding Technique to minimize crosstalk in VLSI Bus”, International Conference on Conference on Electronics Computer Technology (ICECT 2011), April 8th to 10th 2011, Kanyakumari, India.
20.
go back to reference Sandeep Saini, Anurag Mahajan, M.B. Srinivas, “Implementation of Low Power FFT Structure using a Method Based on Conditionally Coded Blocks”, 2010 IEEE Asia Pacific Conference on Circuits and Systems, (APCCAS 2010), Malaysia, Dec 2010. Sandeep Saini, Anurag Mahajan, M.B. Srinivas, “Implementation of Low Power FFT Structure using a Method Based on Conditionally Coded Blocks”, 2010 IEEE Asia Pacific Conference on Circuits and Systems, (APCCAS 2010), Malaysia, Dec 2010.
21.
go back to reference M. R. Stan and W. P. Burleson, “Bus-Invert coding for low-power I/O”, IEEE Trans. on VLSI, vol. 3,pp. 49–58, March 1995.CrossRef M. R. Stan and W. P. Burleson, “Bus-Invert coding for low-power I/O”, IEEE Trans. on VLSI, vol. 3,pp. 49–58, March 1995.CrossRef
22.
go back to reference Youngsoo Shin, Soo-Ik Chae, and Kiyoung Choi, “Partial Bus-Invert Coding for Power optimization of application-Specific Systems”, IEEE Trans. on VLSI, vol. 9, pp. 377–383, April 2001. Youngsoo Shin, Soo-Ik Chae, and Kiyoung Choi, “Partial Bus-Invert Coding for Power optimization of application-Specific Systems”, IEEE Trans. on VLSI, vol. 9, pp. 377–383, April 2001.
23.
go back to reference Gu, Ji, and Hui Guo. “A segmental bus-invert coding method for instruction memory data bus power efficiency.” Circuits and Systems, 2009. ISCAS 2009. IEEE International Symposium on. IEEE, 2009. Gu, Ji, and Hui Guo. “A segmental bus-invert coding method for instruction memory data bus power efficiency.” Circuits and Systems, 2009. ISCAS 2009. IEEE International Symposium on. IEEE, 2009.
24.
go back to reference Sotiriadis, Paul P., and Anantha Chandrakasan. “Low power bus coding techniques considering inter-wire capacitances.” Custom Integrated Circuits Conference, 2000. CICC. Proceedings of the IEEE 2000. IEEE, 2000. Sotiriadis, Paul P., and Anantha Chandrakasan. “Low power bus coding techniques considering inter-wire capacitances.” Custom Integrated Circuits Conference, 2000. CICC. Proceedings of the IEEE 2000. IEEE, 2000.
Metadata
Title
Bus Coding Techniques
Author
Sandeep Saini
Copyright Year
2015
Publisher
Springer New York
DOI
https://doi.org/10.1007/978-1-4614-1323-3_5