Skip to main content
Top

2018 | OriginalPaper | Chapter

DearDRAM: Discard Weak Rows for Reducing DRAM’s Refresh Overhead

Authors : Xusheng Zhan, Yungang Bao, Ninghui Sun

Published in: Advanced Computer Architecture

Publisher: Springer Singapore

Activate our intelligent search to find suitable subject content or patents.

search-config
loading …

Abstract

Due to leakage current, DRAM devices need periodic refresh operations to maintain the validity of data in each DRAM cell. The shorter refresh period is, the more refresh overhead DRAM devices have to amortize. Since the retention time of DRAM cells are different because of process variation, DRAM providers usually set default refresh period as the retention time of those weakest cells that account for less than 0.1% of total capacity.
In this paper, we propose DearDRAM (Discard weak rows DRAM), an efficient refresh approach that is able to substantially reduce refresh overhead using two mechanisms: selectively disabling weak rows and remapping their physical addresses to a reserved region. DearDRAM allows DRAM devices to perform refresh operations with a much longer period (increasing from 64 ms to 256 ms), which reduces energy consumption. It is worth noting that compared to previous schemes, DearDRAM is easy to be implemented, does not modify DRAM chip and only introduces slight modifications to memory controller. Experimental results show that DearDRAM can save refresh energy an average of 76.12%, save total energy about 12.51% and improve IPC an average of 4.56% in normal temperature mode.

Dont have a licence yet? Then find out more about our products and how to get one now:

Springer Professional "Wirtschaft+Technik"

Online-Abonnement

Mit Springer Professional "Wirtschaft+Technik" erhalten Sie Zugriff auf:

  • über 102.000 Bücher
  • über 537 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Maschinenbau + Werkstoffe
  • Versicherung + Risiko

Jetzt Wissensvorsprung sichern!

Springer Professional "Technik"

Online-Abonnement

Mit Springer Professional "Technik" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 390 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Maschinenbau + Werkstoffe




 

Jetzt Wissensvorsprung sichern!

Springer Professional "Wirtschaft"

Online-Abonnement

Mit Springer Professional "Wirtschaft" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 340 Zeitschriften

aus folgenden Fachgebieten:

  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Versicherung + Risiko




Jetzt Wissensvorsprung sichern!

Footnotes
1
Joint Electron Devices Engineering Council (JEDEC) [9].
 
Literature
1.
go back to reference Barroso, L.A., Clidaras, J., Holzle, U.: The datacenter as a computer: an introduction to the design of warehouse-scale machines. Synth. Lect. Comput. Archit. 8(3), 1–154 (2013)CrossRef Barroso, L.A., Clidaras, J., Holzle, U.: The datacenter as a computer: an introduction to the design of warehouse-scale machines. Synth. Lect. Comput. Archit. 8(3), 1–154 (2013)CrossRef
2.
go back to reference Jacob, B., Ng, S., Wang, D.: Memory Systems: Cache, DRAM, Disk. Morgan Kaufmann (2010) Jacob, B., Ng, S., Wang, D.: Memory Systems: Cache, DRAM, Disk. Morgan Kaufmann (2010)
3.
go back to reference Kim, K., Lee, J.: A new investigation of data retention time in truly nanoscaled DRAMs. IEEE Electron Device Lett. 30(8), 846–848 (2009)CrossRef Kim, K., Lee, J.: A new investigation of data retention time in truly nanoscaled DRAMs. IEEE Electron Device Lett. 30(8), 846–848 (2009)CrossRef
4.
go back to reference Li, Y., Schneider, H., Schnabel, F.: DRAM yield analysis and optimization by a statistical design approach. IEEE Trans. Circuits Syst. I Regul. Pap. 58(12), 2906–2918 (2011)MathSciNetCrossRef Li, Y., Schneider, H., Schnabel, F.: DRAM yield analysis and optimization by a statistical design approach. IEEE Trans. Circuits Syst. I Regul. Pap. 58(12), 2906–2918 (2011)MathSciNetCrossRef
5.
go back to reference Liu, J., Jaiyen, B., Veras, R.: RAIDR: retention-aware intelligent DRAM refresh. ACM SIGARCH Comput. Architect. News 40(3), 1–12 (2012)CrossRef Liu, J., Jaiyen, B., Veras, R.: RAIDR: retention-aware intelligent DRAM refresh. ACM SIGARCH Comput. Architect. News 40(3), 1–12 (2012)CrossRef
6.
go back to reference Wang, J., Dong, X., Xie, Y.: ProactiveDRAM: a DRAM-initiated retention management scheme. In: 2014 32nd IEEE International Conference on Computer Design (ICCD), pp. 22–27. IEEE (2014) Wang, J., Dong, X., Xie, Y.: ProactiveDRAM: a DRAM-initiated retention management scheme. In: 2014 32nd IEEE International Conference on Computer Design (ICCD), pp. 22–27. IEEE (2014)
7.
go back to reference Mukundan, J., Hunter, H., Kim, K.: Understanding and mitigating refresh overheads in high-density DDR4 DRAM systems. ACM SIGARCH Comput. Architect. News 41(3), 48–59 (2013)CrossRef Mukundan, J., Hunter, H., Kim, K.: Understanding and mitigating refresh overheads in high-density DDR4 DRAM systems. ACM SIGARCH Comput. Architect. News 41(3), 48–59 (2013)CrossRef
8.
go back to reference Bhati, I., Chishti, Z., Lu, S.L.: Flexible auto-refresh: enabling scalable and energy-efficient DRAM refresh reductions. ACM SIGARCH Comput. Architect. News 43(3), 235–246 (2015)CrossRef Bhati, I., Chishti, Z., Lu, S.L.: Flexible auto-refresh: enabling scalable and energy-efficient DRAM refresh reductions. ACM SIGARCH Comput. Architect. News 43(3), 235–246 (2015)CrossRef
9.
10.
go back to reference Binkert, N., Beckmann, B., Black, G.: The gem5 simulator. ACM SIGARCH Comput. Architect. News 39(2), 1–7 (2011)CrossRef Binkert, N., Beckmann, B., Black, G.: The gem5 simulator. ACM SIGARCH Comput. Architect. News 39(2), 1–7 (2011)CrossRef
11.
go back to reference Henning, J.L.: SPEC CPU2006 benchmark descriptions. ACM SIGARCH Comput. Architect. News 34(4), 1–17 (2006)CrossRef Henning, J.L.: SPEC CPU2006 benchmark descriptions. ACM SIGARCH Comput. Architect. News 34(4), 1–17 (2006)CrossRef
12.
go back to reference Kim, Y., Seshadri, V., Lee, D.: A case for exploiting subarray-level parallelism (SALP) in DRAM. ACM SIGARCH Comput. Architect. News 40(3), 368–379 (2012)CrossRef Kim, Y., Seshadri, V., Lee, D.: A case for exploiting subarray-level parallelism (SALP) in DRAM. ACM SIGARCH Comput. Architect. News 40(3), 368–379 (2012)CrossRef
13.
go back to reference Snavely, A., Tullsen, D.M.: Symbiotic jobscheduling for a simultaneous mutlithreading processor. ACM SIGPLAN Not. 35(11), 234–244 (2000)CrossRef Snavely, A., Tullsen, D.M.: Symbiotic jobscheduling for a simultaneous mutlithreading processor. ACM SIGPLAN Not. 35(11), 234–244 (2000)CrossRef
14.
go back to reference Stuecheli, J., Kaseridis, D., Hunter, H.C., et al.: Elastic refresh: techniques to mitigate refresh penalties in high density memory. In: 43rd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO), pp. 375–384 (2010) Stuecheli, J., Kaseridis, D., Hunter, H.C., et al.: Elastic refresh: techniques to mitigate refresh penalties in high density memory. In: 43rd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO), pp. 375–384 (2010)
15.
go back to reference Venkatesan, R.K., Herr, S., Rotenberg, E.: Retention-aware placement in DRAM (RAPID): software methods for quasi-non-volatile DRAM. The Twelfth International Symposium on High-Performance Computer Architecture, pp. 155–165. IEEE (2006) Venkatesan, R.K., Herr, S., Rotenberg, E.: Retention-aware placement in DRAM (RAPID): software methods for quasi-non-volatile DRAM. The Twelfth International Symposium on High-Performance Computer Architecture, pp. 155–165. IEEE (2006)
16.
go back to reference Liu, J., Jaiyen, B., Kim, Y.: An experimental study of data retention behavior in modern DRAM devices: implications for retention time profiling mechanisms. ACM SIGARCH Comput. Architect. News 41(3), 60–71 (2013)CrossRef Liu, J., Jaiyen, B., Kim, Y.: An experimental study of data retention behavior in modern DRAM devices: implications for retention time profiling mechanisms. ACM SIGARCH Comput. Architect. News 41(3), 60–71 (2013)CrossRef
17.
go back to reference Khan, S., Lee, D., Kim, Y.: The efficacy of error mitigation techniques for DRAM retention failures: a comparative experimental study. ACM SIGMETRICS Perform. Eval. Rev. 42(1), 519–532 (2014)CrossRef Khan, S., Lee, D., Kim, Y.: The efficacy of error mitigation techniques for DRAM retention failures: a comparative experimental study. ACM SIGMETRICS Perform. Eval. Rev. 42(1), 519–532 (2014)CrossRef
18.
go back to reference Rosenfeld, P., Cooper-Balis, E., Jacob, B.: DRAMSim2: a cycle accurate memory system simulator. IEEE Comput. Architect. Lett. 10(1), 16–19 (2011)CrossRef Rosenfeld, P., Cooper-Balis, E., Jacob, B.: DRAMSim2: a cycle accurate memory system simulator. IEEE Comput. Architect. Lett. 10(1), 16–19 (2011)CrossRef
19.
go back to reference Rixner, S., Dally, W.J., Kapasi, U.J.: Memory access scheduling. ACM SIGARCH Comput. Architect. News 28(2), 128–138 (2000)CrossRef Rixner, S., Dally, W.J., Kapasi, U.J.: Memory access scheduling. ACM SIGARCH Comput. Architect. News 28(2), 128–138 (2000)CrossRef
20.
go back to reference Kotra, J.B., Shahidi, N., Chishti, Z.A., et al.: Hardware-software co-design to mitigate dram refresh overheads: a case for refresh-aware process scheduling. In: Proceedings of the Twenty-Second International Conference on Architectural Support for Programming Languages and Operating Systems, pp. 723–736. ACM (2017) Kotra, J.B., Shahidi, N., Chishti, Z.A., et al.: Hardware-software co-design to mitigate dram refresh overheads: a case for refresh-aware process scheduling. In: Proceedings of the Twenty-Second International Conference on Architectural Support for Programming Languages and Operating Systems, pp. 723–736. ACM (2017)
21.
go back to reference Malladi, K.T., Lee, B.C., Nothaft, F.A.: Towards energy-proportional datacenter memory with mobile DRAM. ACM SIGARCH Comput. Architect. News 40(3), 37–48 (2012)CrossRef Malladi, K.T., Lee, B.C., Nothaft, F.A.: Towards energy-proportional datacenter memory with mobile DRAM. ACM SIGARCH Comput. Architect. News 40(3), 37–48 (2012)CrossRef
22.
go back to reference Cui, Z., McKee, S.A., Zha, Z., et al. DTail: a flexible approach to DRAM refresh management. In: Proceedings of the 28th ACM International Conference on Supercomputing, pp. 43–52. ACM (2014) Cui, Z., McKee, S.A., Zha, Z., et al. DTail: a flexible approach to DRAM refresh management. In: Proceedings of the 28th ACM International Conference on Supercomputing, pp. 43–52. ACM (2014)
Metadata
Title
DearDRAM: Discard Weak Rows for Reducing DRAM’s Refresh Overhead
Authors
Xusheng Zhan
Yungang Bao
Ninghui Sun
Copyright Year
2018
Publisher
Springer Singapore
DOI
https://doi.org/10.1007/978-981-13-2423-9_9