Skip to main content
Top

2011 | OriginalPaper | Chapter

23. Design Space Exploration for Efficient Data Intensive Computing on SoCs

Authors : Rosilde Corvino, Abdoulaye Gamatié, Pierre Boulet

Published in: Handbook of Data Intensive Computing

Publisher: Springer New York

Activate our intelligent search to find suitable subject content or patents.

search-config
loading …

Abstract

Finding efficient implementations of data intensive applications, such as radar/sonar signal and image processing, on a system-on-chip is a very challenging problem due to increasing complexity and performance requirements of such applications. One major issue is the optimization of data transfer and storage micro-architecture, which is crucial in this context. In this chapter, we propose a comprehensive method to explore the mapping of high-level representations of applications into a customizable hardware accelerator. The high-level representation is given in a language named Array-OL. The customizable architecture uses FIFO queues and a double buffering mechanism to mask the latency of data transfers and external memory access. The mapping of a high-level representation onto a given architecture is achieved by applying loop transformations in Array-OL. A method based on integer partition is used to reduce the space of explored solutions. Our proposition aims at facilitating the inference of adequate hardware realizations for data intensive applications. It is illustrated on a case study consisting in implementing a hydrophone monitoring application.

Dont have a licence yet? Then find out more about our products and how to get one now:

Springer Professional "Wirtschaft+Technik"

Online-Abonnement

Mit Springer Professional "Wirtschaft+Technik" erhalten Sie Zugriff auf:

  • über 102.000 Bücher
  • über 537 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Maschinenbau + Werkstoffe
  • Versicherung + Risiko

Jetzt Wissensvorsprung sichern!

Springer Professional "Technik"

Online-Abonnement

Mit Springer Professional "Technik" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 390 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Maschinenbau + Werkstoffe




 

Jetzt Wissensvorsprung sichern!

Springer Professional "Wirtschaft"

Online-Abonnement

Mit Springer Professional "Wirtschaft" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 340 Zeitschriften

aus folgenden Fachgebieten:

  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Versicherung + Risiko




Jetzt Wissensvorsprung sichern!

Literature
1.
go back to reference Tony Hey, Stewart Tansley, and Kristin Tolle, editors.The Fourth Paradigm: Data-Intensive Scientific Discovery. 2009. Tony Hey, Stewart Tansley, and Kristin Tolle, editors.The Fourth Paradigm: Data-Intensive Scientific Discovery. 2009.
2.
go back to reference Jianwen Zhu and Nikil Dutt. Electronic system-level design and high-level synthesis. In Laung-Terng Wang, Yao-Wen Chang, and Kwang-Ting (Tim) Cheng, editors,Electronic Design Automation, pages 235–297. Morgan Kaufmann, Boston, 2009. Jianwen Zhu and Nikil Dutt. Electronic system-level design and high-level synthesis. In Laung-Terng Wang, Yao-Wen Chang, and Kwang-Ting (Tim) Cheng, editors,Electronic Design Automation, pages 235–297. Morgan Kaufmann, Boston, 2009.
3.
go back to reference Felice Balarin, Massimiliano Chiodo, Paolo Giusto, Harry Hsieh, Attila Jurecska, Luciano Lavagno, Claudio Passerone, Alberto Sangiovanni-Vincentelli, Ellen Sentovich, Kei Suzuki, and Bassam Tabbara.Hardware-software co-design of embedded systems: the POLIS approach. Kluwer Academic Publishers, Norwell, MA, USA, 1997.CrossRefMATH Felice Balarin, Massimiliano Chiodo, Paolo Giusto, Harry Hsieh, Attila Jurecska, Luciano Lavagno, Claudio Passerone, Alberto Sangiovanni-Vincentelli, Ellen Sentovich, Kei Suzuki, and Bassam Tabbara.Hardware-software co-design of embedded systems: the POLIS approach. Kluwer Academic Publishers, Norwell, MA, USA, 1997.CrossRefMATH
4.
go back to reference R. Ernst, J. Henkel, Th. Benner, W. Ye, U. Holtmann, D. Herrmann, and M. Trawny. The cosyma environment for hardware/software cosynthesis of small embedded systems.Microprocessors and Microsystems, 20(3):159–166, 1996.CrossRef R. Ernst, J. Henkel, Th. Benner, W. Ye, U. Holtmann, D. Herrmann, and M. Trawny. The cosyma environment for hardware/software cosynthesis of small embedded systems.Microprocessors and Microsystems, 20(3):159–166, 1996.CrossRef
5.
go back to reference B. Kienhuis, E. Deprettere, K. Vissers, and P. Van Der Wolf. An approach for quantitative analysis of application-specific dataflow architectures. InApplication-Specific Systems, Architectures and Processors, 1997. Proceedings., IEEE International Conference on, pages 338–349, Jul 1997. B. Kienhuis, E. Deprettere, K. Vissers, and P. Van Der Wolf. An approach for quantitative analysis of application-specific dataflow architectures. InApplication-Specific Systems, Architectures and Processors, 1997. Proceedings., IEEE International Conference on, pages 338–349, Jul 1997.
6.
go back to reference Sander Stuijk.Predictable Mapping of Streaming Applications on Multiprocessors. PhD thesis, Technische Universiteit Eindhoven, The Nederlands, 2007. Sander Stuijk.Predictable Mapping of Streaming Applications on Multiprocessors. PhD thesis, Technische Universiteit Eindhoven, The Nederlands, 2007.
7.
go back to reference Andreas Gerstlauer and Daniel D. Gajski. System-level abstraction semantics. InProceedings of the 15th international symposium on System Synthesis, ISSS ’02, pages 231–236, New York, NY, USA, 2002. ACM. Andreas Gerstlauer and Daniel D. Gajski. System-level abstraction semantics. InProceedings of the 15th international symposium on System Synthesis, ISSS ’02, pages 231–236, New York, NY, USA, 2002. ACM.
8.
go back to reference P. R. Panda, F. Catthoor, N. D. Dutt, K. Danckaert, E. Brockmeyer, C. Kulkarni, A. Vandercappelle, and P. G. Kjeldsberg. Data and memory optimization techniques for embedded systems.ACM Trans. Des. Autom. Electron. Syst., 6:149–206, April 2001.CrossRef P. R. Panda, F. Catthoor, N. D. Dutt, K. Danckaert, E. Brockmeyer, C. Kulkarni, A. Vandercappelle, and P. G. Kjeldsberg. Data and memory optimization techniques for embedded systems.ACM Trans. Des. Autom. Electron. Syst., 6:149–206, April 2001.CrossRef
9.
go back to reference F. Catthoor, K. Danckaert, C. Kulkarni, E. Brockmeyer, P. G. Kjeldsberg, T. Van Achteren, and T. Omnes.Data access and storage management for embedded programmable processors. Springer, 2002. F. Catthoor, K. Danckaert, C. Kulkarni, E. Brockmeyer, P. G. Kjeldsberg, T. Van Achteren, and T. Omnes.Data access and storage management for embedded programmable processors. Springer, 2002.
10.
go back to reference Rosilde Corvino, Abdoulaye Gamatié, and Pierre Boulet. Architecture exploration for efficient data transfer and storage in data-parallel applications. In Pasqua D’Ambra, Mario Guarracino, and Domenico Talia, editors,Euro-Par 2010 - Parallel Processing, volume 6271 ofLecture Notes in Computer Science, pages 101–116. Springer Berlin/Heidelberg, 2010. Rosilde Corvino, Abdoulaye Gamatié, and Pierre Boulet. Architecture exploration for efficient data transfer and storage in data-parallel applications. In Pasqua D’Ambra, Mario Guarracino, and Domenico Talia, editors,Euro-Par 2010 - Parallel Processing, volume 6271 ofLecture Notes in Computer Science, pages 101–116. Springer Berlin/Heidelberg, 2010.
11.
go back to reference Lech Józwiak, Nadia Nedjah, and Miguel Figueroa. Modern development methods and tools for embedded reconfigurable systems: A survey.Integration, the VLSI Journal, 43(1):1–33, 2010. Lech Józwiak, Nadia Nedjah, and Miguel Figueroa. Modern development methods and tools for embedded reconfigurable systems: A survey.Integration, the VLSI Journal, 43(1):1–33, 2010.
12.
go back to reference Edward A. Lee and David G. Messerschmitt. Synchronous Data Flow.Proceedings of the IEEE, 75(9):1235–1245, September 1987.CrossRef Edward A. Lee and David G. Messerschmitt. Synchronous Data Flow.Proceedings of the IEEE, 75(9):1235–1245, September 1987.CrossRef
13.
go back to reference A. Sangiovanni-Vincentelli and G. Martin. Platform-based design and software design methodology for embedded systems.Design Test of Computers, IEEE, 18(6):23–33, Nov/Dec 2001. A. Sangiovanni-Vincentelli and G. Martin. Platform-based design and software design methodology for embedded systems.Design Test of Computers, IEEE, 18(6):23–33, Nov/Dec 2001.
14.
go back to reference Giuseppe Ascia, Vincenzo Catania, Alessandro G. Di Nuovo, Maurizio Palesi, and Davide Patti. Efficient design space exploration for application specific systems-on-a-chip.Journal of Systems Architecture, 53(10):733–750, 2007.CrossRef Giuseppe Ascia, Vincenzo Catania, Alessandro G. Di Nuovo, Maurizio Palesi, and Davide Patti. Efficient design space exploration for application specific systems-on-a-chip.Journal of Systems Architecture, 53(10):733–750, 2007.CrossRef
15.
go back to reference F Balasa, P Kjeldsberg, A Vandecappelle, M Palkovic, Q Hu, H Zhu, and F Catthoor. Storage Estimation and Design Space Exploration Methodologies for the Memory Management of Signal Processing Applications.Journal of Signal Processing Systems, 53(1):51–71, Nov 2008.CrossRef F Balasa, P Kjeldsberg, A Vandecappelle, M Palkovic, Q Hu, H Zhu, and F Catthoor. Storage Estimation and Design Space Exploration Methodologies for the Memory Management of Signal Processing Applications.Journal of Signal Processing Systems, 53(1):51–71, Nov 2008.CrossRef
16.
go back to reference Yong Chen, Surendra Byna, Xian-He Sun, Rajeev Thakur, and William Gropp. Hiding i/o latency with pre-execution prefetching for parallel applications. InACM/IEEE Supercomputing Conference (SC’08), page 40, 2008. Yong Chen, Surendra Byna, Xian-He Sun, Rajeev Thakur, and William Gropp. Hiding i/o latency with pre-execution prefetching for parallel applications. InACM/IEEE Supercomputing Conference (SC’08), page 40, 2008.
17.
go back to reference P. R. Panda, F. Catthoor, N. D. Dutt, K. Danckaert, E. Brockmeyer, C. Kulkarni, A. Vandercappelle, and P. G. Kjeldsberg. Data and memory optimization techniques for embedded systems.ACM Transactions on Design Automation of Electronic Systems, 6(2):149–206, 2001.CrossRef P. R. Panda, F. Catthoor, N. D. Dutt, K. Danckaert, E. Brockmeyer, C. Kulkarni, A. Vandercappelle, and P. G. Kjeldsberg. Data and memory optimization techniques for embedded systems.ACM Transactions on Design Automation of Electronic Systems, 6(2):149–206, 2001.CrossRef
18.
go back to reference H T Kung. Why systolic architectures.Computer, 15(1):37–46, 1982. H T Kung. Why systolic architectures.Computer, 15(1):37–46, 1982.
19.
go back to reference Abdelkader Amar, Pierre Boulet, and Philippe Dumont. Projection of the Array-OL Specification Language onto the Kahn Process Network Computation Model. InISPAN ’05: Proceedings of the 8th International Symposium on Parallel Architectures, Algorithms and Networks, pages 496–503, 2005. Abdelkader Amar, Pierre Boulet, and Philippe Dumont. Projection of the Array-OL Specification Language onto the Kahn Process Network Computation Model. InISPAN ’05: Proceedings of the 8th International Symposium on Parallel Architectures, Algorithms and Networks, pages 496–503, 2005.
20.
go back to reference D. Kim, R. Managuli, and Y. Kim. Data cache and direct memory access in programming mediaprocessors.Micro, IEEE, 21(4):33–42, Jul 2001.CrossRef D. Kim, R. Managuli, and Y. Kim. Data cache and direct memory access in programming mediaprocessors.Micro, IEEE, 21(4):33–42, Jul 2001.CrossRef
21.
go back to reference Jason D. Hiser, Jack W. Davidson, and David B. Whalley. Fast, Accurate Design Space Exploration of Embedded Systems Memory Configurations. InSAC ’07: Proceedings of the 2007 ACM symposium on Applied computing, pages 699–706, New York, NY, USA, 2007. ACM. Jason D. Hiser, Jack W. Davidson, and David B. Whalley. Fast, Accurate Design Space Exploration of Embedded Systems Memory Configurations. InSAC ’07: Proceedings of the 2007 ACM symposium on Applied computing, pages 699–706, New York, NY, USA, 2007. ACM.
22.
go back to reference Q. Hu, P. G. Kjeldsberg, A. Vandecappelle, M. Palkovic, and F. Catthoor. Incremental hierarchical memory size estimation for steering of loop transformations.ACM Transactions on Design Automation of Electronic Systems, 12(4):50, 2007. Q. Hu, P. G. Kjeldsberg, A. Vandecappelle, M. Palkovic, and F. Catthoor. Incremental hierarchical memory size estimation for steering of loop transformations.ACM Transactions on Design Automation of Electronic Systems, 12(4):50, 2007.
23.
go back to reference Yong Chen, Surendra Byna, Xian-He Sun, Rajeev Thakur, and William Gropp. Hiding I/O latency with pre-execution prefetching for parallel applications. InSC ’08: Proceedings of the 2008 ACM/IEEE conference on Supercomputing, pages 1–10, 2008. Yong Chen, Surendra Byna, Xian-He Sun, Rajeev Thakur, and William Gropp. Hiding I/O latency with pre-execution prefetching for parallel applications. InSC ’08: Proceedings of the 2008 ACM/IEEE conference on Supercomputing, pages 1–10, 2008.
24.
go back to reference P.K. Murthy and E.A. Lee. Multidimensional synchronous dataflow.IEEE Transactions on Signal Processing, 50(8):2064–2079, Aug. 2002.CrossRef P.K. Murthy and E.A. Lee. Multidimensional synchronous dataflow.IEEE Transactions on Signal Processing, 50(8):2064–2079, Aug. 2002.CrossRef
25.
go back to reference F. Deprettere and T. Stefanov. Affine nested loop programs and their binary cyclo-static dataflow counterparts. InProc. of Conf. on Application Specific Systems, Architectures, and Processors, pages 186–190, 2006. F. Deprettere and T. Stefanov. Affine nested loop programs and their binary cyclo-static dataflow counterparts. InProc. of Conf. on Application Specific Systems, Architectures, and Processors, pages 186–190, 2006.
26.
go back to reference Albert Cohen, Marc Duranton, Christine Eisenbeis, Claire Pagetti, Florence Plateau, and Marc Pouzet. N-synchronous kahn networks: a relaxed model of synchrony for real-time systems. InPOPL ’06: Conference record of the 33rd ACM SIGPLAN-SIGACT symposium on Principles of programming languages, pages 180–193, 2006. Albert Cohen, Marc Duranton, Christine Eisenbeis, Claire Pagetti, Florence Plateau, and Marc Pouzet. N-synchronous kahn networks: a relaxed model of synchrony for real-time systems. InPOPL ’06: Conference record of the 33rd ACM SIGPLAN-SIGACT symposium on Principles of programming languages, pages 180–193, 2006.
27.
go back to reference Sylvain Girbal, Nicolas Vasilache, Cédric Bastoul, Albert Cohen, David Parello, Marc Sigler, and Olivier Temam. Semi-automatic composition of loop transformations for deep parallelism and memory hierarchies.Journal of Parallel Programming, 34:261–317, 2006.CrossRefMATH Sylvain Girbal, Nicolas Vasilache, Cédric Bastoul, Albert Cohen, David Parello, Marc Sigler, and Olivier Temam. Semi-automatic composition of loop transformations for deep parallelism and memory hierarchies.Journal of Parallel Programming, 34:261–317, 2006.CrossRefMATH
28.
go back to reference Mark Thompson, Hristo Nikolov, Todor Stefanov, Andy D. Pimentel, Cagkan Erbas, Simon Polstra, and Ed F. Deprettere. A framework for rapid system-level exploration, synthesis, and programming of multimedia mp-socs. InProceedings of the 5th IEEE/ACM international conference on Hardware/software codesign and system synthesis, CODES+ISSS’07, pages 9–14, New York, NY, USA, 2007. ACM. Mark Thompson, Hristo Nikolov, Todor Stefanov, Andy D. Pimentel, Cagkan Erbas, Simon Polstra, and Ed F. Deprettere. A framework for rapid system-level exploration, synthesis, and programming of multimedia mp-socs. InProceedings of the 5th IEEE/ACM international conference on Hardware/software codesign and system synthesis, CODES+ISSS’07, pages 9–14, New York, NY, USA, 2007. ACM.
29.
go back to reference Scott Fischaber, Roger Woods, and John McAllister. Soc memory hierarchy derivation from dataflow graphs.Journal of Signal Processing Systems, 60:345–361, 2010.CrossRef Scott Fischaber, Roger Woods, and John McAllister. Soc memory hierarchy derivation from dataflow graphs.Journal of Signal Processing Systems, 60:345–361, 2010.CrossRef
30.
go back to reference Calin Glitia and Pierre Boulet. High Level Loop Transformations for Systematic Signal Processing Embedded Applications. Research Report RR-6469, INRIA, 2008. Calin Glitia and Pierre Boulet. High Level Loop Transformations for Systematic Signal Processing Embedded Applications. Research Report RR-6469, INRIA, 2008.
31.
go back to reference S.H. Fuller and L.I. Millett. Computing performance: Game over or next level?Computer, 44(1):31–38, Jan. 2011.CrossRef S.H. Fuller and L.I. Millett. Computing performance: Game over or next level?Computer, 44(1):31–38, Jan. 2011.CrossRef
32.
go back to reference Rosilde Corvino.Exploration de l’espace des architectures pour des systèmes de traitement d’image, analyse faite sur des blocs fondamentaux de la rétine numérique. PhD thesis, Université Joseph-Fourier - Grenoble I, France, 2009. Rosilde Corvino.Exploration de l’espace des architectures pour des systèmes de traitement d’image, analyse faite sur des blocs fondamentaux de la rétine numérique. PhD thesis, Université Joseph-Fourier - Grenoble I, France, 2009.
33.
go back to reference Calin Glitia, Philippe Dumont, and Pierre Boulet. Array-OL with delays, a domain specific specification language for multidimensional intensive signal processing.Multidimensional Systems and Signal Processing (Springer Netherlands), 2010. Calin Glitia, Philippe Dumont, and Pierre Boulet. Array-OL with delays, a domain specific specification language for multidimensional intensive signal processing.Multidimensional Systems and Signal Processing (Springer Netherlands), 2010.
34.
go back to reference B.C. de Lavarene, D. Alleysson, B. Durette, and J. Herault. Efficient demosaicing through recursive filtering. InIEEE International Conference on Image Processing (ICIP 07), volume 2, Oct. 2007. B.C. de Lavarene, D. Alleysson, B. Durette, and J. Herault. Efficient demosaicing through recursive filtering. InIEEE International Conference on Image Processing (ICIP 07), volume 2, Oct. 2007.
35.
go back to reference Jeanny Hérault and Barthélémy Durette. Modeling visual perception for image processing.Computational and Ambient Intelligence (LNCS Springer Berlin/Heidelberg), pages 662–675, 2007. Jeanny Hérault and Barthélémy Durette. Modeling visual perception for image processing.Computational and Ambient Intelligence (LNCS Springer Berlin/Heidelberg), pages 662–675, 2007.
36.
go back to reference Calin Glitia and Pierre Boulet. High level loop transformations for systematic signal processing embedded applications.Embedded Computer Systems: Architectures, Modeling, and Simulation (Springer), pages 187–196, 2008. Calin Glitia and Pierre Boulet. High level loop transformations for systematic signal processing embedded applications.Embedded Computer Systems: Architectures, Modeling, and Simulation (Springer), pages 187–196, 2008.
37.
go back to reference Ken Kennedy and Kathryn S. McKinley. Maximizing loop parallelism and improving data locality via loop fusion and distribution. InProceedings of the 6th International Workshop on Languages and Compilers for Parallel Computing, pages 301–320, London, UK, 1994. Springer-Verlag. Ken Kennedy and Kathryn S. McKinley. Maximizing loop parallelism and improving data locality via loop fusion and distribution. InProceedings of the 6th International Workshop on Languages and Compilers for Parallel Computing, pages 301–320, London, UK, 1994. Springer-Verlag.
38.
go back to reference Frank Hannig, Hritam Dutta, and Jürgen Teich. Parallelization approaches for hardware accelerators – loop unrolling versus loop partitioning.Architecture of Computing Systems – ARCS 2009, pages 16–27, 2009. Frank Hannig, Hritam Dutta, and Jürgen Teich. Parallelization approaches for hardware accelerators – loop unrolling versus loop partitioning.Architecture of Computing Systems – ARCS 2009, pages 16–27, 2009.
39.
go back to reference Jingling Xue.Loop tiling for parallelism. Kluwer Academic Publishers, 2000. Jingling Xue.Loop tiling for parallelism. Kluwer Academic Publishers, 2000.
40.
go back to reference Preeti Ranjan Panda, Hiroshi Nakamura, Nikil D. Dutt, and Alexandru Nicolau. Augmenting loop tiling with data alignment for improved cache performance.IEEE Transactions on Computers, 48:142–149, 1999. Preeti Ranjan Panda, Hiroshi Nakamura, Nikil D. Dutt, and Alexandru Nicolau. Augmenting loop tiling with data alignment for improved cache performance.IEEE Transactions on Computers, 48:142–149, 1999.
41.
go back to reference Lushan Liu, Pradeep Nagaraj, Shambhu Upadhyaya, and Ramalingam Sridhar. Defect analysis and defect tolerant design of multi-port srams.J. Electron. Test., 24(1–3):165–179, 2008. Lushan Liu, Pradeep Nagaraj, Shambhu Upadhyaya, and Ramalingam Sridhar. Defect analysis and defect tolerant design of multi-port srams.J. Electron. Test., 24(1–3):165–179, 2008.
42.
go back to reference Robert Schreiber, Shail Aditya, Scott Mahlke, Vinod Kathail, B Rau, Darren Cronquist, and Mukund Sivaraman. Pico-npa: High-level synthesis of nonprogrammable hardware accelerators.The Journal of VLSI Signal Processing, 31(2):127–142, Jun 2002. Robert Schreiber, Shail Aditya, Scott Mahlke, Vinod Kathail, B Rau, Darren Cronquist, and Mukund Sivaraman. Pico-npa: High-level synthesis of nonprogrammable hardware accelerators.The Journal of VLSI Signal Processing, 31(2):127–142, Jun 2002.
43.
go back to reference Imondi GC, Zenzo M, and Fazio MA. Pipelined Burst Memory Access, US patent, August 2008. patent. Imondi GC, Zenzo M, and Fazio MA. Pipelined Burst Memory Access, US patent, August 2008. patent.
44.
go back to reference Nawaaz Ahmed, Nikolay Mateev, and Keshav Pingali. Synthesizing transformations for locality enhancement of imperfectly-nested loop nests.International Journal of Parallel Programming, 29(5):493–544, Oct 2001.CrossRefMATH Nawaaz Ahmed, Nikolay Mateev, and Keshav Pingali. Synthesizing transformations for locality enhancement of imperfectly-nested loop nests.International Journal of Parallel Programming, 29(5):493–544, Oct 2001.CrossRefMATH
45.
go back to reference Talal Rahwan, Sarvapali Ramchurn, Nicholas Jennings, and Andrea Giovannucci. An anytime algorithm for optimal coalition structure generation.Journal of Artificial Intelligence Research (JAIR), 34:521–567, April 2009. Talal Rahwan, Sarvapali Ramchurn, Nicholas Jennings, and Andrea Giovannucci. An anytime algorithm for optimal coalition structure generation.Journal of Artificial Intelligence Research (JAIR), 34:521–567, April 2009.
46.
go back to reference Abdoulaye Gamatié, Sébastien Le Beux, Éric Piel, Rabie Ben Atitallah, Anne Etien, Philippe Marquet, and Jean-Luc Dekeyser. A model driven design framework for massively parallel embedded systems.ACM Transactions on Embedded Computing Systems (TECS) ACM (To appear), preliminary version athttp://hal.inria.fr/inria-00311115/2010. Abdoulaye Gamatié, Sébastien Le Beux, Éric Piel, Rabie Ben Atitallah, Anne Etien, Philippe Marquet, and Jean-Luc Dekeyser. A model driven design framework for massively parallel embedded systems.ACM Transactions on Embedded Computing Systems (TECS) ACM (To appear), preliminary version athttp://​hal.​inria.​fr/​inria-00311115/​2010.
Metadata
Title
Design Space Exploration for Efficient Data Intensive Computing on SoCs
Authors
Rosilde Corvino
Abdoulaye Gamatié
Pierre Boulet
Copyright Year
2011
Publisher
Springer New York
DOI
https://doi.org/10.1007/978-1-4614-1415-5_23

Premium Partner