Skip to main content
Top
Published in: Journal of Electronic Testing 4/2013

01-08-2013

Efficient Worst-Case Temperature Evaluation for Thermal-Aware Assignment of Real-Time Applications on MPSoCs

Authors: Lars Schor, Iuliana Bacivarov, Hoeseok Yang, Lothar Thiele

Published in: Journal of Electronic Testing | Issue 4/2013

Log in

Activate our intelligent search to find suitable subject content or patents.

search-config
loading …

Abstract

The reliability of multiprocessor system-on-chips (MPSoCs) is nowadays threatened by high chip temperatures leading to long-term reliability concerns and short-term functional errors. High chip temperatures might not only cause potential deadline violations, but also increase cooling costs and leakage power. Pro-active thermal-aware allocation and scheduling techniques that avoid thermal emergencies are promising techniques to reduce the peak temperature of an MPSoC. However, calculating the peak temperature of hundreds of design alternatives during design space exploration is time-consuming, in particular for unknown input patterns and data. In this paper, we address this challenge and present a fast analytic method to calculate a non-trivial upper bound on the maximum temperature of a multi-core real-time system with non-deterministic workload. The considered thermal model is able to address various thermal effects like heat exchange between neighboring cores and temperature-dependent leakage power. Afterwards, we integrate the proposed thermal analysis method into a design-space exploration framework to optimize the task to processing component assignment. Finally, we apply the proposed method in various case studies to explore thermal hot spots and to optimize the task to processing component assignment.

Dont have a licence yet? Then find out more about our products and how to get one now:

Springer Professional "Wirtschaft+Technik"

Online-Abonnement

Mit Springer Professional "Wirtschaft+Technik" erhalten Sie Zugriff auf:

  • über 102.000 Bücher
  • über 537 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Maschinenbau + Werkstoffe
  • Versicherung + Risiko

Jetzt Wissensvorsprung sichern!

Springer Professional "Technik"

Online-Abonnement

Mit Springer Professional "Technik" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 390 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Maschinenbau + Werkstoffe




 

Jetzt Wissensvorsprung sichern!

Springer Professional "Wirtschaft"

Online-Abonnement

Mit Springer Professional "Wirtschaft" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 340 Zeitschriften

aus folgenden Fachgebieten:

  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Versicherung + Risiko




Jetzt Wissensvorsprung sichern!

Show more products
Literature
1.
go back to reference Bartolini A, Cacciari M, Tilli A, Benini L, Gries M (2010) A virtual platform environment for exploring power, thermal and reliability management control strategies in high-performance multicores. In: Proc. Great Lakes symposium on VLSI (GLSVLSI), pp 311–316 Bartolini A, Cacciari M, Tilli A, Benini L, Gries M (2010) A virtual platform environment for exploring power, thermal and reliability management control strategies in high-performance multicores. In: Proc. Great Lakes symposium on VLSI (GLSVLSI), pp 311–316
2.
go back to reference Baruah S, Mok A, Rosier L (1990) Preemptively scheduling hard-real-time sporadic tasks on one processor. In: Proc. real-time systems symposium (RTSS), pp 182–190 Baruah S, Mok A, Rosier L (1990) Preemptively scheduling hard-real-time sporadic tasks on one processor. In: Proc. real-time systems symposium (RTSS), pp 182–190
3.
go back to reference Benini L, Bertozzi D, Bogliolo A, Menichelli F, Olivieri M (2005) MPARM: exploring the multi-processor SoC design space with SystemC. J VLSI Signal Process 41(2):169–182CrossRef Benini L, Bertozzi D, Bogliolo A, Menichelli F, Olivieri M (2005) MPARM: exploring the multi-processor SoC design space with SystemC. J VLSI Signal Process 41(2):169–182CrossRef
4.
go back to reference Bircher WL, John LK (2008) Analysis of dynamic power management on multi-core processors. In: Proc. int’l conf. on supercomputing (ICS), pp 327–338 Bircher WL, John LK (2008) Analysis of dynamic power management on multi-core processors. In: Proc. int’l conf. on supercomputing (ICS), pp 327–338
5.
go back to reference Chakraborty S, Liu Y, Stoimenov N, Thiele L, Wandeler E (2006) Interface-based rate analysis of embedded systems. In: Proc. real-time systems symposium (RTSS), pp 25–34 Chakraborty S, Liu Y, Stoimenov N, Thiele L, Wandeler E (2006) Interface-based rate analysis of embedded systems. In: Proc. real-time systems symposium (RTSS), pp 25–34
6.
go back to reference Chantem T, Dick RP, Hu XS (2008) Temperature-aware scheduling and assignment for hard real-time applications on MPSoCs. In: Proc. design, automation and test in Europe (DATE), pp 288–293 Chantem T, Dick RP, Hu XS (2008) Temperature-aware scheduling and assignment for hard real-time applications on MPSoCs. In: Proc. design, automation and test in Europe (DATE), pp 288–293
7.
go back to reference Coskun A, Rosing T, Whisnant K, Gross K (2008) Static and dynamic temperature-aware scheduling for multiprocessor SoCs. IEEE Trans Very Large Scale Integr (VLSI) Syst 16(9):1127–1140CrossRef Coskun A, Rosing T, Whisnant K, Gross K (2008) Static and dynamic temperature-aware scheduling for multiprocessor SoCs. IEEE Trans Very Large Scale Integr (VLSI) Syst 16(9):1127–1140CrossRef
8.
go back to reference Cui J, Maskell D (2012) A fast high-level event-driven thermal estimator for dynamic thermal aware scheduling. IEEE Trans Comput-Aided Des Integr Circ Syst 31(6):904–917CrossRef Cui J, Maskell D (2012) A fast high-level event-driven thermal estimator for dynamic thermal aware scheduling. IEEE Trans Comput-Aided Des Integr Circ Syst 31(6):904–917CrossRef
9.
go back to reference Donald J, Martonosi M (2006) Techniques for multicore thermal management: classification and new exploration. In: Proc. int’l symposium on computer architecture (ISCA), pp 78–88 Donald J, Martonosi M (2006) Techniques for multicore thermal management: classification and new exploration. In: Proc. int’l symposium on computer architecture (ISCA), pp 78–88
10.
go back to reference Fisher N, Chen JJ, Wang S, Thiele L (2009) Thermal-aware global real-time scheduling on multicore systems. In: Proc. real-time and embedded technology and applications symposium (RTAS), pp 131–140 Fisher N, Chen JJ, Wang S, Thiele L (2009) Thermal-aware global real-time scheduling on multicore systems. In: Proc. real-time and embedded technology and applications symposium (RTAS), pp 131–140
11.
go back to reference Henia R, Hamann A, Jersak M, Racu R, Richter K, Ernst R (2005) System level performance analysis—the SymTA/S approach. IEEE Proc Comput Digit Tech 152(2):148–166CrossRef Henia R, Hamann A, Jersak M, Racu R, Richter K, Ernst R (2005) System level performance analysis—the SymTA/S approach. IEEE Proc Comput Digit Tech 152(2):148–166CrossRef
12.
go back to reference Huang W, Ghosh S, Velusamy S, Sankaranarayanan K, Skadron K, Stan M (2006) HotSpot: a compact thermal modeling methodology for early-stage VLSI design. IEEE Trans Very Large Scale Integr (VLSI) Syst 14(5):501–513CrossRef Huang W, Ghosh S, Velusamy S, Sankaranarayanan K, Skadron K, Stan M (2006) HotSpot: a compact thermal modeling methodology for early-stage VLSI design. IEEE Trans Very Large Scale Integr (VLSI) Syst 14(5):501–513CrossRef
13.
go back to reference Isci C, Buyuktosunoglu A, Cher CY, Bose P, Martonosi M (2006) An analysis of efficient multi-core global power management policies: maximizing performance for a given power budget. In: Proc. int’l symposium on microarchitecture (MICRO), pp 347–358 Isci C, Buyuktosunoglu A, Cher CY, Bose P, Martonosi M (2006) An analysis of efficient multi-core global power management policies: maximizing performance for a given power budget. In: Proc. int’l symposium on microarchitecture (MICRO), pp 347–358
15.
go back to reference Künzli S, Hamann A, Ernst R, Thiele L (2007) Combined approach to system level performance analysis of embedded systems. In: Proc. int’l conf. on hardware/software codesign and system synthesis (CODES+ISSS), pp 63–68 Künzli S, Hamann A, Ernst R, Thiele L (2007) Combined approach to system level performance analysis of embedded systems. In: Proc. int’l conf. on hardware/software codesign and system synthesis (CODES+ISSS), pp 63–68
16.
go back to reference Liu Y, et al (2007) Accurate temperature-dependent integrated circuit leakage power estimation is easy. In: Proc. design, automation and test in Europe (DATE), pp 1526–1531 Liu Y, et al (2007) Accurate temperature-dependent integrated circuit leakage power estimation is easy. In: Proc. design, automation and test in Europe (DATE), pp 1526–1531
17.
go back to reference Murali S, Mutapcic A, Atienza D, Gupta R, Boyd S, De Micheli G (2007) Temperature-aware processor frequency assignment for MPSoCs using convex optimization. In: Proc. int’l conf. on hardware/software codesign and system synthesis (CODES+ISSS), pp 111–116 Murali S, Mutapcic A, Atienza D, Gupta R, Boyd S, De Micheli G (2007) Temperature-aware processor frequency assignment for MPSoCs using convex optimization. In: Proc. int’l conf. on hardware/software codesign and system synthesis (CODES+ISSS), pp 111–116
18.
go back to reference Rabaey JM, Chandrakasan A, Nikolic B (2008) Digital integrated circuits, 3rd edn. Prentice Hall Press, Upper Saddle River Rabaey JM, Chandrakasan A, Nikolic B (2008) Digital integrated circuits, 3rd edn. Prentice Hall Press, Upper Saddle River
19.
go back to reference Schor L, Bacivarov I, Yang H, Thiele L (2012a) Fast worst-case peak temperature evaluation for real-time applications on multi-core systems. In: Proc. IEEE Latin American test workshop (LATW), pp 1–6 Schor L, Bacivarov I, Yang H, Thiele L (2012a) Fast worst-case peak temperature evaluation for real-time applications on multi-core systems. In: Proc. IEEE Latin American test workshop (LATW), pp 1–6
20.
go back to reference Schor L, Bacivarov I, Yang H, Thiele L (2012b) Worst-case temperature guarantees for real-time applications on multi-core systems. In: Proc. IEEE real-time and embedded technology and applications symposium (RTAS), pp 87–96 Schor L, Bacivarov I, Yang H, Thiele L (2012b) Worst-case temperature guarantees for real-time applications on multi-core systems. In: Proc. IEEE real-time and embedded technology and applications symposium (RTAS), pp 87–96
21.
go back to reference Skadron K, Stan MR, Sankaranarayanan K, Huang W, Velusamy S, Tarjan D (2004) Temperature-aware microarchitecture: modeling and implementation. ACM Trans Archit Code Optim 1(1):94–125CrossRef Skadron K, Stan MR, Sankaranarayanan K, Huang W, Velusamy S, Tarjan D (2004) Temperature-aware microarchitecture: modeling and implementation. ACM Trans Archit Code Optim 1(1):94–125CrossRef
22.
go back to reference Sridhar M, Raj A, Vincenzi A, Ruggiero M, Brunschwiler T, Atienza Alonso D (2010) 3D-ICE: fast compact transient thermal modeling for 3D-ICs with inter-tier liquid cooling. In: Proc. int’l conf. on computer-aided design (ICCAD), pp 463–470 Sridhar M, Raj A, Vincenzi A, Ruggiero M, Brunschwiler T, Atienza Alonso D (2010) 3D-ICE: fast compact transient thermal modeling for 3D-ICs with inter-tier liquid cooling. In: Proc. int’l conf. on computer-aided design (ICCAD), pp 463–470
23.
go back to reference Thiele L, Chakraborty S, Naedele M (2000) Real-time calculus for scheduling hard real-time systems. In: Proc. IEEE int’l symposium on circuits and systems (ISCAS), pp 101–104 Thiele L, Chakraborty S, Naedele M (2000) Real-time calculus for scheduling hard real-time systems. In: Proc. IEEE int’l symposium on circuits and systems (ISCAS), pp 101–104
24.
go back to reference Thiele L, Schor L, Yang H, Bacivarov I (2011) Thermal-aware system analysis and software synthesis for embedded multi-processors. In: Proc. design automation conference (DAC), pp 268–273 Thiele L, Schor L, Yang H, Bacivarov I (2011) Thermal-aware system analysis and software synthesis for embedded multi-processors. In: Proc. design automation conference (DAC), pp 268–273
25.
go back to reference Thiele L, Schor L, Bacivarov I, Yang H (2013) Predictability for timing and temperature in multiprocessor system-on-chip platforms. ACM Trans Embed Comput Syst (TECS) 12(S1):48:1–48:25 Thiele L, Schor L, Bacivarov I, Yang H (2013) Predictability for timing and temperature in multiprocessor system-on-chip platforms. ACM Trans Embed Comput Syst (TECS) 12(S1):48:1–48:25
26.
go back to reference Garcia del Valle P, Atienza D (2010) Emulation-based transient thermal modeling of 2D/3D systems-on-chip with active cooling. Microelectron J 41(10):1–9 Garcia del Valle P, Atienza D (2010) Emulation-based transient thermal modeling of 2D/3D systems-on-chip with active cooling. Microelectron J 41(10):1–9
28.
go back to reference Wandeler E, Maxiaguine A, Thiele L (2006a) Performance analysis of greedy shapers in real-time systems. In: Proc. design, automation and test in Europe (DATE), pp 444–449 Wandeler E, Maxiaguine A, Thiele L (2006a) Performance analysis of greedy shapers in real-time systems. In: Proc. design, automation and test in Europe (DATE), pp 444–449
29.
go back to reference Wandeler E, Thiele L, Verhoef M, Lieverse P (2006b) System architecture evaluation using modular performance analysis: a case study. Int J Softw Tools Technol Transf 8(6):649–667CrossRef Wandeler E, Thiele L, Verhoef M, Lieverse P (2006b) System architecture evaluation using modular performance analysis: a case study. Int J Softw Tools Technol Transf 8(6):649–667CrossRef
30.
go back to reference Xie Y, WL Hung (2006) Temperature-aware task allocation and scheduling for embedded multiprocessor systems-on-chip (MPSoC) design. J VLSI Signal Process 45(3):177–189CrossRef Xie Y, WL Hung (2006) Temperature-aware task allocation and scheduling for embedded multiprocessor systems-on-chip (MPSoC) design. J VLSI Signal Process 45(3):177–189CrossRef
31.
go back to reference Yang CY, Chen JJ, Thiele L, Kuo TW (2010) Energy-efficient real-time task scheduling with temperature-dependent leakage. In: Proc. design, automation and test in Europe (DATE), pp 9–14 Yang CY, Chen JJ, Thiele L, Kuo TW (2010) Energy-efficient real-time task scheduling with temperature-dependent leakage. In: Proc. design, automation and test in Europe (DATE), pp 9–14
Metadata
Title
Efficient Worst-Case Temperature Evaluation for Thermal-Aware Assignment of Real-Time Applications on MPSoCs
Authors
Lars Schor
Iuliana Bacivarov
Hoeseok Yang
Lothar Thiele
Publication date
01-08-2013
Publisher
Springer US
Published in
Journal of Electronic Testing / Issue 4/2013
Print ISSN: 0923-8174
Electronic ISSN: 1573-0727
DOI
https://doi.org/10.1007/s10836-013-5397-5

Other articles of this Issue 4/2013

Journal of Electronic Testing 4/2013 Go to the issue

EditorialNotes

Editorial