Skip to main content
Top

2019 | OriginalPaper | Chapter

11. Error Analysis and Optimization in Approximate Arithmetic Circuits

Authors : Deepashree Sengupta, Jiang Hu, Sachin S. Sapatnekar

Published in: Approximate Circuits

Publisher: Springer International Publishing

Activate our intelligent search to find suitable subject content or patents.

search-config
loading …

Abstract

This chapter presents a comprehensive study of various error analysis methodologies for evaluating the accuracy of approximate circuits, and the importance of such methodologies in their design. Although approximate circuits leverage the inherent perceptual limitations of human senses, they should be deployed in a manner that does not compromise user experience. In other words, the errors introduced due to using approximate circuits should be within acceptable margins. These margins depend on the target applications, and a systematic approach is required to ensure that the designed approximate circuit indeed meets the specifications in terms of the margins. The first step in achieving this goal is to obtain the error introduced in the output of the circuit due to approximation, and the first part of this chapter discusses various metrics to quantify that error. Since the error not only depends on the circuit structure, but also on the input vectors, these metrics are derived statistically. The error is then modeled as a function of various design parameters of the circuit, as well as the statistics of the input vector. The second part of the chapter discusses these modeling techniques in detail for various types of approximate circuits. Finally, the error model is utilized during the design phase to limit the maximum inaccuracy in approximate circuits. In other words, similar to the timing, power, and area constraints in regular circuit design, error is treated as an additional constraint for approximate circuit design. In this connection, the last part of this chapter discusses a set of optimization algorithms for circuit design using this additional error constraint.

Dont have a licence yet? Then find out more about our products and how to get one now:

Springer Professional "Wirtschaft+Technik"

Online-Abonnement

Mit Springer Professional "Wirtschaft+Technik" erhalten Sie Zugriff auf:

  • über 102.000 Bücher
  • über 537 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Maschinenbau + Werkstoffe
  • Versicherung + Risiko

Jetzt Wissensvorsprung sichern!

Springer Professional "Technik"

Online-Abonnement

Mit Springer Professional "Technik" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 390 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Maschinenbau + Werkstoffe




 

Jetzt Wissensvorsprung sichern!

Footnotes
1
An unweighted edge implies it is not shifted, representing a multiplication by 20.
 
Literature
1.
go back to reference Chan WTJ, Kahng AB, Kang S, Kumar R, Sartori J (2013) Statistical analysis and modeling for error composition in approximate computation circuits. In: Proceedings of the IEEE international conference on computer design, pp 47–53 Chan WTJ, Kahng AB, Kang S, Kumar R, Sartori J (2013) Statistical analysis and modeling for error composition in approximate computation circuits. In: Proceedings of the IEEE international conference on computer design, pp 47–53
2.
go back to reference Gupta V, Mohapatra D, Raghunathan A, Roy K (2013) Low-power digital signal processing using approximate adders. IEEE Trans Comput Aided Des Integr Circuits Syst 32(1):124–137CrossRef Gupta V, Mohapatra D, Raghunathan A, Roy K (2013) Low-power digital signal processing using approximate adders. IEEE Trans Comput Aided Des Integr Circuits Syst 32(1):124–137CrossRef
3.
go back to reference Han J, Orshansky M (2013) Approximate computing: an emerging paradigm for energy-efficient design. In: Proceedings of the IEEE European test symposium, pp 1–6 Han J, Orshansky M (2013) Approximate computing: an emerging paradigm for energy-efficient design. In: Proceedings of the IEEE European test symposium, pp 1–6
4.
go back to reference Huang J, Lach J, Robins G (2012) A methodology for energy-quality tradeoff using imprecise hardware. In: Proceedings of the ACM/EDAC/IEEE design automation conference, pp 504–509 Huang J, Lach J, Robins G (2012) A methodology for energy-quality tradeoff using imprecise hardware. In: Proceedings of the ACM/EDAC/IEEE design automation conference, pp 504–509
5.
go back to reference Lee S, Lee D, Han K, Shriver E, John LK, Gerstlauer A (2016) Statistical quality modeling of approximate hardware. In: Proceedings of the IEEE international symposium on quality electronic design, pp 163–168 Lee S, Lee D, Han K, Shriver E, John LK, Gerstlauer A (2016) Statistical quality modeling of approximate hardware. In: Proceedings of the IEEE international symposium on quality electronic design, pp 163–168
6.
go back to reference Li C, Luo W, Sapatnekar SS, Hu J (2015) Joint precision optimization and high level synthesis for approximate computing. In: Proceedings of the ACM/EDAC/IEEE design automation conference, pp 104.1–104.6 Li C, Luo W, Sapatnekar SS, Hu J (2015) Joint precision optimization and high level synthesis for approximate computing. In: Proceedings of the ACM/EDAC/IEEE design automation conference, pp 104.1–104.6
7.
go back to reference Liu C, Han J, Lombardi F (2014) A low-power, high-performance approximate multiplier with configurable partial error recovery. In: Proceedings of the IEEE design, automation, and test in Europe, pp 1–4 Liu C, Han J, Lombardi F (2014) A low-power, high-performance approximate multiplier with configurable partial error recovery. In: Proceedings of the IEEE design, automation, and test in Europe, pp 1–4
8.
go back to reference Loeffler C, Ligtenberg A, Moschytz GS (1989) Practical Fast 1-D DCT algorithms with 11 multiplications. In: International conference on acoustics, speech, and signal processing, vol 2, pp 988–991CrossRef Loeffler C, Ligtenberg A, Moschytz GS (1989) Practical Fast 1-D DCT algorithms with 11 multiplications. In: International conference on acoustics, speech, and signal processing, vol 2, pp 988–991CrossRef
9.
go back to reference Mahdiani HR, Ahmadi A, Fakhraie SM, Lucas C (2010) Bio-inspired imprecise computational blocks for Efficient VLSI Implementation of soft-computing applications. IEEE Trans Circuits Syst Regul Pap 57(4):850–862MathSciNetCrossRef Mahdiani HR, Ahmadi A, Fakhraie SM, Lucas C (2010) Bio-inspired imprecise computational blocks for Efficient VLSI Implementation of soft-computing applications. IEEE Trans Circuits Syst Regul Pap 57(4):850–862MathSciNetCrossRef
10.
go back to reference Mazahir S, Hasan O, Hafiz R, Shafique M, Henkel J (2017) Probabilistic error modeling for approximate adders. IEEE Trans Comput 66(3):515–530MathSciNetCrossRef Mazahir S, Hasan O, Hafiz R, Shafique M, Henkel J (2017) Probabilistic error modeling for approximate adders. IEEE Trans Comput 66(3):515–530MathSciNetCrossRef
11.
go back to reference Miao J, He K, Gerstlauer A, Orshansky M (2012) Modeling and synthesis of quality-energy optimal approximate adders. In: Proceedings of the IEEE/ACM international conference on computer-aided design, pp 728–735 Miao J, He K, Gerstlauer A, Orshansky M (2012) Modeling and synthesis of quality-energy optimal approximate adders. In: Proceedings of the IEEE/ACM international conference on computer-aided design, pp 728–735
12.
go back to reference Oppenheim AV, Willsky AS (1997) Signals and systems. Prentice-Hall, New JerseyMATH Oppenheim AV, Willsky AS (1997) Signals and systems. Prentice-Hall, New JerseyMATH
13.
go back to reference Rehman S, El-Harouni W, Shafique M, Kumar A, Henkel J, Henkel J (2016) Architectural-space exploration of approximate multipliers. In: Proceedings of the IEEE/ACM international conference on computer-aided design, pp 1–8 Rehman S, El-Harouni W, Shafique M, Kumar A, Henkel J, Henkel J (2016) Architectural-space exploration of approximate multipliers. In: Proceedings of the IEEE/ACM international conference on computer-aided design, pp 1–8
14.
go back to reference Sengupta D, Sapatnekar SS (2015) FEMTO: fast error analysis in multipliers through topological traversal. In: Proceedings of the IEEE/ACM international conference on computer-aided design, pp 294–299 Sengupta D, Sapatnekar SS (2015) FEMTO: fast error analysis in multipliers through topological traversal. In: Proceedings of the IEEE/ACM international conference on computer-aided design, pp 294–299
15.
go back to reference Sengupta D, Snigdha FS, Hu J, Sapatnekar SS (2017) SABER: selection of approximate bits for the design of error tolerant circuits. In: Proceedings of the ACM/EDAC/IEEE design automation conference, pp 72:1–72:6 Sengupta D, Snigdha FS, Hu J, Sapatnekar SS (2017) SABER: selection of approximate bits for the design of error tolerant circuits. In: Proceedings of the ACM/EDAC/IEEE design automation conference, pp 72:1–72:6
16.
go back to reference Sengupta D, Snigdha FS, Hu J, Sapatnekar SS (2018) An analytical approach for error PMF characterization in approximate circuits. IEEE Trans Comput Aided Des Integr Circuits Syst. Preprint Sengupta D, Snigdha FS, Hu J, Sapatnekar SS (2018) An analytical approach for error PMF characterization in approximate circuits. IEEE Trans Comput Aided Des Integr Circuits Syst. Preprint
17.
go back to reference Shafique M, Ahmad W, Hafiz R, Henkel J (2015) A low latency generic accuracy configurable adder. In: Proceedings of the ACM/EDAC/IEEE design automation conference, pp 86:1–86:6 Shafique M, Ahmad W, Hafiz R, Henkel J (2015) A low latency generic accuracy configurable adder. In: Proceedings of the ACM/EDAC/IEEE design automation conference, pp 86:1–86:6
18.
go back to reference Shafique M, Hafiz R, Rehman S, El-Harouni W, Henkel J (2016) Invited – cross-layer approximate computing: from logic to architectures. In: Proceedings of the ACM/EDAC/IEEE design automation conference, pp 99:1–99:6 Shafique M, Hafiz R, Rehman S, El-Harouni W, Henkel J (2016) Invited – cross-layer approximate computing: from logic to architectures. In: Proceedings of the ACM/EDAC/IEEE design automation conference, pp 99:1–99:6
19.
go back to reference Shanbhag NR, Abdallah RA, Kumar R, Jones DL (2010) Stochastic computation. In: Proceedings of the ACM/EDAC/IEEE design automation conference, pp 859–864 Shanbhag NR, Abdallah RA, Kumar R, Jones DL (2010) Stochastic computation. In: Proceedings of the ACM/EDAC/IEEE design automation conference, pp 859–864
20.
go back to reference Shao B, Li P (2015) Array-based approximate arithmetic computing: a general model and applications to multiplier and squarer design. IEEE Trans Circuits Syst Regul Pap 62(4):1081–1090MathSciNetCrossRef Shao B, Li P (2015) Array-based approximate arithmetic computing: a general model and applications to multiplier and squarer design. IEEE Trans Circuits Syst Regul Pap 62(4):1081–1090MathSciNetCrossRef
21.
go back to reference Snigdha FS, Sengupta D, Hu J, Sapatnekar SS (2016) Optimal design of JPEG hardware under the approximate computing paradigm. In: Proceedings of the ACM/EDAC/IEEE design automation conference, pp 106:1–106:6 Snigdha FS, Sengupta D, Hu J, Sapatnekar SS (2016) Optimal design of JPEG hardware under the approximate computing paradigm. In: Proceedings of the ACM/EDAC/IEEE design automation conference, pp 106:1–106:6
22.
go back to reference Springer MD (1979) The algebra of random variables. Wiley, New YorkMATH Springer MD (1979) The algebra of random variables. Wiley, New YorkMATH
23.
go back to reference Stolfi J, de Figueiredo L (2003) An introduction to affine arithmetic. Trends Appl Comput Math 4(3):297–312MathSciNetMATH Stolfi J, de Figueiredo L (2003) An introduction to affine arithmetic. Trends Appl Comput Math 4(3):297–312MathSciNetMATH
24.
go back to reference Swartzlander E (1999) Truncated multiplication with approximate rounding. In: Proceedings of the Asilomar conference on signals, systems, and computers, vol 2, pp 1480–1483 Swartzlander E (1999) Truncated multiplication with approximate rounding. In: Proceedings of the Asilomar conference on signals, systems, and computers, vol 2, pp 1480–1483
25.
go back to reference Venkatesan R, Agarwal A, Roy K, Raghunathan A (2011) MACACO: modeling and analysis of circuits for approximate computing. In: Proceedings of the IEEE/ACM international conference on computer-aided design, pp 667–673 Venkatesan R, Agarwal A, Roy K, Raghunathan A (2011) MACACO: modeling and analysis of circuits for approximate computing. In: Proceedings of the IEEE/ACM international conference on computer-aided design, pp 667–673
26.
go back to reference Wang Z, Bovik AC (2009) Mean squared error: love it or leave it? a new look at signal fidelity measures. IEEE Signal Process Mag 26(1):98–117CrossRef Wang Z, Bovik AC (2009) Mean squared error: love it or leave it? a new look at signal fidelity measures. IEEE Signal Process Mag 26(1):98–117CrossRef
Metadata
Title
Error Analysis and Optimization in Approximate Arithmetic Circuits
Authors
Deepashree Sengupta
Jiang Hu
Sachin S. Sapatnekar
Copyright Year
2019
DOI
https://doi.org/10.1007/978-3-319-99322-5_11