Skip to main content
Top
Published in: Soft Computing 6/2018

09-01-2017 | Methodologies and Application

Exploiting dynamic transaction queue size in scalable memory systems

Authors: Mario Donato Marino, Tien-Hsiung Weng, Kuan-Ching Li

Published in: Soft Computing | Issue 6/2018

Log in

Activate our intelligent search to find suitable subject content or patents.

search-config
loading …

Abstract

In order to increase parallelism via memory width in scalable memory systems, a straightforward approach is to employ larger number of memory controllers (MCs). Nevertheless, a number of researches have pointed out that, even executing bandwidth-bound applications in systems with larger number of MCs, the number of transaction queue entries is under-utilized—namely as shallower transaction queues, which provides an opportunity to power saving. In order to address this challenge, we propose the use of transaction queues with dynamic size that employs the most adequate size, taking into consideration the number of entries utilized while presenting adequate levels of bandwidth and minimizing power. Experimental results show that, while saving up to 75% number of entries, the introduction of dynamic transaction queue mechanism can present savings up to 75% of bandwidth and 20% of rank energy-per-bit reduction compared to systems with 1–2 entries.

Dont have a licence yet? Then find out more about our products and how to get one now:

Springer Professional "Wirtschaft+Technik"

Online-Abonnement

Mit Springer Professional "Wirtschaft+Technik" erhalten Sie Zugriff auf:

  • über 102.000 Bücher
  • über 537 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Maschinenbau + Werkstoffe
  • Versicherung + Risiko

Jetzt Wissensvorsprung sichern!

Springer Professional "Wirtschaft"

Online-Abonnement

Mit Springer Professional "Wirtschaft" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 340 Zeitschriften

aus folgenden Fachgebieten:

  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Versicherung + Risiko




Jetzt Wissensvorsprung sichern!

Springer Professional "Technik"

Online-Abonnement

Mit Springer Professional "Technik" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 390 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Maschinenbau + Werkstoffe




 

Jetzt Wissensvorsprung sichern!

Literature
go back to reference Binkert NL et al (2006) The M5 simulator: modeling networked systems. IEEE Micro 26(4):52–60CrossRef Binkert NL et al (2006) The M5 simulator: modeling networked systems. IEEE Micro 26(4):52–60CrossRef
go back to reference Bontempi G, Kruijtzer W (2004) The use of intelligent data analysis techniques for system-level design: a software estimation example. Soft Comput 8(7):477–490CrossRefMATH Bontempi G, Kruijtzer W (2004) The use of intelligent data analysis techniques for system-level design: a software estimation example. Soft Comput 8(7):477–490CrossRefMATH
go back to reference Byun G et al (2011) An 8.4 Gb/s 2.5 pJ/b mobile memory I/O interface using bi-directional and simultaneous dual (base+RF)-band signaling. In: ISSCC, IEEE, pp 488, 490 Byun G et al (2011) An 8.4 Gb/s 2.5 pJ/b mobile memory I/O interface using bi-directional and simultaneous dual (base+RF)-band signaling. In: ISSCC, IEEE, pp 488, 490
go back to reference Chang MCF et al (2008) Power reduction of CMP communication networks via RF-interconnects. In: MICRO, IEEE, Washington, USA, 2008, pp 376–387 Chang MCF et al (2008) Power reduction of CMP communication networks via RF-interconnects. In: MICRO, IEEE, Washington, USA, 2008, pp 376–387
go back to reference Chang MF et al (2008) CMP network-on-chip overlaid with multi-band RF-interconnect. In: HPCA , pp 191–202 Chang MF et al (2008) CMP network-on-chip overlaid with multi-band RF-interconnect. In: HPCA , pp 191–202
go back to reference Chang MCF et al (2005) Advanced RF/baseband interconnect schemes for inter- and intra-ULSI communications. IEEE Trans Electron Dev 52:1271–1285CrossRef Chang MCF et al (2005) Advanced RF/baseband interconnect schemes for inter- and intra-ULSI communications. IEEE Trans Electron Dev 52:1271–1285CrossRef
go back to reference Darren M (2016) Chitty Improving the performance GPU-based genetic programming through exploitation of on-chip memory. Soft Comput 20(2):661–680CrossRef Darren M (2016) Chitty Improving the performance GPU-based genetic programming through exploitation of on-chip memory. Soft Comput 20(2):661–680CrossRef
go back to reference David H et al(2011) Memory power management via dynamic voltage/frequency scaling. In: Proceedings of the 8th ACM international conference on autonomic computing, ICAC’11, ACM, New York, NY, USA pp 31–40 David H et al(2011) Memory power management via dynamic voltage/frequency scaling. In: Proceedings of the 8th ACM international conference on autonomic computing, ICAC’11, ACM, New York, NY, USA pp 31–40
go back to reference David Wang et al (2005) DRAMsim: a memory system simulator. ACM SIGARCH Comput Arch News 33(4):100–107CrossRef David Wang et al (2005) DRAMsim: a memory system simulator. ACM SIGARCH Comput Arch News 33(4):100–107CrossRef
go back to reference Deng Q et al (2012) MultiScale: memory system DVFS with multiple memory controllers. In: Proceedings of the 2012 ACM/IEEE international symposium on low power electronics and design, ISLPED’12, ACM, New York, NY, USA, pp 297–302 Deng Q et al (2012) MultiScale: memory system DVFS with multiple memory controllers. In: Proceedings of the 2012 ACM/IEEE international symposium on low power electronics and design, ISLPED’12, ACM, New York, NY, USA, pp 297–302
go back to reference Deng Q et al(2011) Memscale: active low-power modes for main memory. In: Proceedings of the sixteenth ASPLOS, ACM, New York, NY, USA, pp 225–238 Deng Q et al(2011) Memscale: active low-power modes for main memory. In: Proceedings of the sixteenth ASPLOS, ACM, New York, NY, USA, pp 225–238
go back to reference Jeong MK et al (2012) A qos-aware memory controller for dynamically balancing GPU and CPU bandwidth use in an MPSoC. In: DAC, ACM, New York, USA, pp 850–855 Jeong MK et al (2012) A qos-aware memory controller for dynamically balancing GPU and CPU bandwidth use in an MPSoC. In: DAC, ACM, New York, USA, pp 850–855
go back to reference Jantz MR, Strickland C, Kumar K, Dimitrov M, Doshi KA (2013) A framework for application guidance in virtual memory systems. In: VEE, ACM, pp 344–355 Jantz MR, Strickland C, Kumar K, Dimitrov M, Doshi KA (2013) A framework for application guidance in virtual memory systems. In: VEE, ACM, pp 344–355
go back to reference Li S et al (2009) McPAT: an integrated power, area, and timing modeling framework for multicore and manycore architectures. In: MICRO’09, ACM, New York, USA, pp 469–480 Li S et al (2009) McPAT: an integrated power, area, and timing modeling framework for multicore and manycore architectures. In: MICRO’09, ACM, New York, USA, pp 469–480
go back to reference Loh GH (2008) 3D-stacked memory architectures for multi-core processors. In: ISCA, IEEE, DC, USA, pp 453–464 Loh GH (2008) 3D-stacked memory architectures for multi-core processors. In: ISCA, IEEE, DC, USA, pp 453–464
go back to reference Malladi et al (2012) Towards energy-proportional datacenter memory with mobile DRAM. In: Proceedings of the 39th annual international symposium on computer architecture, ISCA’12, IEEE Computer Society, Washington, DC, USA, pp 37–48 Malladi et al (2012) Towards energy-proportional datacenter memory with mobile DRAM. In: Proceedings of the 39th annual international symposium on computer architecture, ISCA’12, IEEE Computer Society, Washington, DC, USA, pp 37–48
go back to reference Marino MD (2006) L2-cache hierarchical organizations for multi-core architectures. In: Frontiers of high performance computing and networking—ISPA 2006 workshops: ISPA 2006 international workshops, FHPCN, XHPC, S-GRACE, GridGIS, HPC-GTP, PDCE, ParDMCom, WOMP, ISDF, and UPWN, Proceedings. Springer, pp 74–83 Marino MD (2006) L2-cache hierarchical organizations for multi-core architectures. In: Frontiers of high performance computing and networking—ISPA 2006 workshops: ISPA 2006 international workshops, FHPCN, XHPC, S-GRACE, GridGIS, HPC-GTP, PDCE, ParDMCom, WOMP, ISDF, and UPWN, Proceedings. Springer, pp 74–83
go back to reference Marino MD (2012) On-package scalability of RF and inductive memory controllers. In: Euromicro DSD, IEEE, pp 923–930 Marino MD (2012) On-package scalability of RF and inductive memory controllers. In: Euromicro DSD, IEEE, pp 923–930
go back to reference Marino MD (2012) RFiop: RF-memory path to address on-package I/O pad and memory controller scalability. In: ICCD, 2012, Montreal, Quebec, Canada, IEEE, pp 183–188 Marino MD (2012) RFiop: RF-memory path to address on-package I/O pad and memory controller scalability. In: ICCD, 2012, Montreal, Quebec, Canada, IEEE, pp 183–188
go back to reference Marino MD (2013) RFiof: an RF approach to the I/O-pin and memory controller scalability for off-chip memories. In: CF, Ischia, Italy, ACM, pp. 100–110, 14–16 May 2013 Marino MD (2013) RFiof: an RF approach to the I/O-pin and memory controller scalability for off-chip memories. In: CF, Ischia, Italy, ACM, pp. 100–110, 14–16 May 2013
go back to reference Marino MD (2016) ABaT-FS: towards adjustable bandwidth and temperature via frequency scaling in scalable memory systems. Microprocess Microsyst 45:339–354CrossRef Marino MD (2016) ABaT-FS: towards adjustable bandwidth and temperature via frequency scaling in scalable memory systems. Microprocess Microsyst 45:339–354CrossRef
go back to reference Marino MD, Li KC (2014) Insights on memory controller scaling in multi-core embedded systems. Int J Embed Syst 6(4):351–361CrossRef Marino MD, Li KC (2014) Insights on memory controller scaling in multi-core embedded systems. Int J Embed Syst 6(4):351–361CrossRef
go back to reference Marino MD, Li KC (2016) Last level cache size heterogeneity in embedded systems. J Supercomput 72(2):503–544CrossRef Marino MD, Li KC (2016) Last level cache size heterogeneity in embedded systems. J Supercomput 72(2):503–544CrossRef
go back to reference Marino MD, Li KC (2016) Implications of Shallower Memory Controller Transaction Queues in Scalable Memory Systems. J Supercomput 72:1785–1798 Marino MD, Li KC (2016) Implications of Shallower Memory Controller Transaction Queues in Scalable Memory Systems. J Supercomput 72:1785–1798
go back to reference McCalpin JD (1995) Memory bandwidth and machine balance in current high performance computers, IEEE TCCA Newsletter, pp 19–25 McCalpin JD (1995) Memory bandwidth and machine balance in current high performance computers, IEEE TCCA Newsletter, pp 19–25
go back to reference Nair PJ et al (2013) ArchShield: architectural framework for assisting DRAM scaling by tolerating high error rates. In: Proceedings of the 40th annual international symposium on computer architecture, ISCA’13, ACM, New York, NY, USA, pp 72–83 Nair PJ et al (2013) ArchShield: architectural framework for assisting DRAM scaling by tolerating high error rates. In: Proceedings of the 40th annual international symposium on computer architecture, ISCA’13, ACM, New York, NY, USA, pp 72–83
go back to reference Nogueira B et al (2016) Multi-objective optimization of multimedia embedded systems using genetic algorithms and stochastic simulation. Soft Comput. doi:10.1007/s00500-016-2061-x Nogueira B et al (2016) Multi-objective optimization of multimedia embedded systems using genetic algorithms and stochastic simulation. Soft Comput. doi:10.​1007/​s00500-016-2061-x
go back to reference Novakovic S et al (2014) Scale-out NUMA. In: Proceedings of the 19th international conference on architectural support for programming languages and operating systems, ASPLOS’14, ACM, New York, NY, USA, pp 3–18 Novakovic S et al (2014) Scale-out NUMA. In: Proceedings of the 19th international conference on architectural support for programming languages and operating systems, ASPLOS’14, ACM, New York, NY, USA, pp 3–18
go back to reference Rünger G, Rauber T (2013) Parallel programming: for multicore and cluster systems, 2nd edn. Springer, BerlinMATH Rünger G, Rauber T (2013) Parallel programming: for multicore and cluster systems, 2nd edn. Springer, BerlinMATH
go back to reference Scoton FM, Kobayashi J, Marino MD (2012) Adapted discrete-based entropy cache replacement algorithm. In: International conference on high performance computing and simulation (HPCS), pp 534–540 Scoton FM, Kobayashi J, Marino MD (2012) Adapted discrete-based entropy cache replacement algorithm. In: International conference on high performance computing and simulation (HPCS), pp 534–540
go back to reference Taassori M et al (2014) Exploring a brink-of-failure memory controller to design an approximate memory system. In: 1st Workshop on approximate computing across the system stack (WACAS), ACM, Salt Lake City, pp 72–83 Taassori M et al (2014) Exploring a brink-of-failure memory controller to design an approximate memory system. In: 1st Workshop on approximate computing across the system stack (WACAS), ACM, Salt Lake City, pp 72–83
go back to reference Tam S-W et al (2011) RF-interconnect for future network-on-chip. In: Low power network-on-chip, pp 255–280 Tam S-W et al (2011) RF-interconnect for future network-on-chip. In: Low power network-on-chip, pp 255–280
go back to reference Therdsteerasukdi K et al (2011) The DIMM tree architecture: a high bandwidth and scalable memory system. In: ICCD, IEEE, pp 388–395 Therdsteerasukdi K et al (2011) The DIMM tree architecture: a high bandwidth and scalable memory system. In: ICCD, IEEE, pp 388–395
go back to reference Udipi AN (2012) Designing efficient memory for future computing systems. Ph.D. Thesis, University of Utah, School of Computing, Utah, USA, pp 1–126 Udipi AN (2012) Designing efficient memory for future computing systems. Ph.D. Thesis, University of Utah, School of Computing, Utah, USA, pp 1–126
go back to reference Usui H, Subramanian L, Chang K, Mutlu O (2016) SQUASH: Simple QoS-Aware High-Performance Memory Scheduler for Heterogeneous Systems with Hardware Accelerators. arXiv:1505.07502. Accessed 10 Feb 2016 Usui H, Subramanian L, Chang K, Mutlu O (2016) SQUASH: Simple QoS-Aware High-Performance Memory Scheduler for Heterogeneous Systems with Hardware Accelerators. arXiv:​1505.​07502. Accessed 10 Feb 2016
go back to reference Vantrease et al (2008) Corona: system implications of emerging nanophotonic technology. In: ISCA, IEEE, DC, USA, pp 153–164 Vantrease et al (2008) Corona: system implications of emerging nanophotonic technology. In: ISCA, IEEE, DC, USA, pp 153–164
go back to reference Zhang X et al(2015) Exploiting dram restore time variations in deep sub-micron scaling. In: Proceedings of the 2015 design, automation and test in Europe conference and exhibition, DATE’15, San Jose, CA, USA, pp 477–482 Zhang X et al(2015) Exploiting dram restore time variations in deep sub-micron scaling. In: Proceedings of the 2015 design, automation and test in Europe conference and exhibition, DATE’15, San Jose, CA, USA, pp 477–482
Metadata
Title
Exploiting dynamic transaction queue size in scalable memory systems
Authors
Mario Donato Marino
Tien-Hsiung Weng
Kuan-Ching Li
Publication date
09-01-2017
Publisher
Springer Berlin Heidelberg
Published in
Soft Computing / Issue 6/2018
Print ISSN: 1432-7643
Electronic ISSN: 1433-7479
DOI
https://doi.org/10.1007/s00500-016-2470-x

Other articles of this Issue 6/2018

Soft Computing 6/2018 Go to the issue

Premium Partner