Skip to main content
Top
Published in: Telecommunication Systems 4/2018

11-12-2017

Hardware implementation of fault tolerance NoC core mapping

Authors: Naresh Kumar Reddy Beechu, Vasantha Moodabettu Harishchandra, Nithin Kumar Yernad Balachandra

Published in: Telecommunication Systems | Issue 4/2018

Log in

Activate our intelligent search to find suitable subject content or patents.

search-config
loading …

Abstract

Due to performance and reliability, network on chip (NoC) is considered to be the future generation interconnect technique for multiple cores in a chip. This paper proposes a system level core mapping technique which improves the performance of the whole system, while rectifying the temporary faults and permanent faults in the system using error correcting codes and spare core. This technique mainly focuses on the core mapping and faults on the system. This results in reliable core mapping and improved performance when a fault-related error occurs on an NoC. At last, the proposed core mapping technique is simulated and verified on FPGA board (Kintex-7 FPGA KC705 Evaluation Kit).

Dont have a licence yet? Then find out more about our products and how to get one now:

Springer Professional "Wirtschaft+Technik"

Online-Abonnement

Mit Springer Professional "Wirtschaft+Technik" erhalten Sie Zugriff auf:

  • über 102.000 Bücher
  • über 537 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Maschinenbau + Werkstoffe
  • Versicherung + Risiko

Jetzt Wissensvorsprung sichern!

Springer Professional "Technik"

Online-Abonnement

Mit Springer Professional "Technik" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 390 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Maschinenbau + Werkstoffe




 

Jetzt Wissensvorsprung sichern!

Springer Professional "Wirtschaft"

Online-Abonnement

Mit Springer Professional "Wirtschaft" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 340 Zeitschriften

aus folgenden Fachgebieten:

  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Versicherung + Risiko




Jetzt Wissensvorsprung sichern!

Literature
1.
go back to reference Goossens, K., Dielissen, J., Gangwal, O. P., & Pestana, S. G. (2005). A design flow for application-specific networks on chip with guaranteed performance to accelerate SOC design and verification. In Proceedings of the conference on design, automation and test in Europe conference and exhibition (DATE). Goossens, K., Dielissen, J., Gangwal, O. P., & Pestana, S. G. (2005). A design flow for application-specific networks on chip with guaranteed performance to accelerate SOC design and verification. In Proceedings of the conference on design, automation and test in Europe conference and exhibition (DATE).
2.
go back to reference DiTomaso, D., Morris, R., Kodi, A. K., Sarathy, A., & Louri, A. (2013). Extending the energy efficiency and performance with channel buffers, crossbars, and topology analysis for network-on-chips. IEEE Transactions on Very Large Scale IntegrationSystems, 21(11), 2141–2154.CrossRef DiTomaso, D., Morris, R., Kodi, A. K., Sarathy, A., & Louri, A. (2013). Extending the energy efficiency and performance with channel buffers, crossbars, and topology analysis for network-on-chips. IEEE Transactions on Very Large Scale IntegrationSystems, 21(11), 2141–2154.CrossRef
3.
go back to reference Kim, J., Nicopoulos, C., Park, D., Narayanan, V., Yousif, M. S., & Das, C. R. (2006). A gracefully degrading and energy-efficient modular router architecture for on-chip networks. In Proceedings of the 33rd international symposium on computer architecture. Kim, J., Nicopoulos, C., Park, D., Narayanan, V., Yousif, M. S., & Das, C. R. (2006). A gracefully degrading and energy-efficient modular router architecture for on-chip networks. In Proceedings of the 33rd international symposium on computer architecture.
4.
go back to reference Bertozzi, D., & Benini, L. (2004). Xpipes: A network-on-chip architecture for gigascale system on chip. IEEE Circuits and Systems, 4(2), 18–31. Bertozzi, D., & Benini, L. (2004). Xpipes: A network-on-chip architecture for gigascale system on chip. IEEE Circuits and Systems, 4(2), 18–31.
5.
go back to reference Schley, G., Ahmed, I., Afzal, M., & Radetzki, M. (2016). Reconfigurable fault tolerant routing for networks-on-chip with logical hierarchy. Computers & Electrical Engineering, 51, 195–206.CrossRef Schley, G., Ahmed, I., Afzal, M., & Radetzki, M. (2016). Reconfigurable fault tolerant routing for networks-on-chip with logical hierarchy. Computers & Electrical Engineering, 51, 195–206.CrossRef
6.
go back to reference Manna, K., Chattopadhyay, S., & Gupta, I. S. (2010). Energy and performance evaluation of a dimension order routing algorithm for mesh of tree based Network-on-Chip architecture. In Annual IEEE India Conference (INDICON). Manna, K., Chattopadhyay, S., & Gupta, I. S. (2010). Energy and performance evaluation of a dimension order routing algorithm for mesh of tree based Network-on-Chip architecture. In Annual IEEE India Conference (INDICON).
7.
go back to reference Rezaei, A., Daneshtalab, M., Safaei, F., & Zhao, D. (2016). Hierarchical approach for hybrid wireless network-on-chip in many-core era. Computers & Electrical Engineering, 51, 225–234.CrossRef Rezaei, A., Daneshtalab, M., Safaei, F., & Zhao, D. (2016). Hierarchical approach for hybrid wireless network-on-chip in many-core era. Computers & Electrical Engineering, 51, 225–234.CrossRef
8.
go back to reference Reddy, B. N. K., Vasantha, M. H., & Nithin Kumar, Y. B. (2016). A gracefully degrading and energy-efficient fault tolerant NoC using spare core. In 2016 IEEE Computer Society Annual Symposium on VLSI (ISVLSI 2016), Pennsylvania (pp. 146–151). Reddy, B. N. K., Vasantha, M. H., & Nithin Kumar, Y. B. (2016). A gracefully degrading and energy-efficient fault tolerant NoC using spare core. In 2016 IEEE Computer Society Annual Symposium on VLSI (ISVLSI 2016), Pennsylvania (pp. 146–151).
9.
go back to reference Murali, S., & Micheli, G. D. (2004). Bandwidth-constrained mapping of cores onto NoC architectures. In Proceedings of the conference on design automation and test in Europe (pp. 896–901). Murali, S., & Micheli, G. D. (2004). Bandwidth-constrained mapping of cores onto NoC architectures. In Proceedings of the conference on design automation and test in Europe (pp. 896–901).
10.
go back to reference Jang, W., & Pan, D. Z. (2010). A3MAP: Architecture aware analytic mapping for networks on chip. In The 15th Asia and south pacific design automation conference (ASP-DAC). Jang, W., & Pan, D. Z. (2010). A3MAP: Architecture aware analytic mapping for networks on chip. In The 15th Asia and south pacific design automation conference (ASP-DAC).
11.
go back to reference He, O., Dong, S., Jang, W., Bian, J., & Pan, D. Z. (2012). UNISM: Unified scheduling and mapping for general networks on chip. IEEE Transactions on Very Large Scale Integration systems, 20(8), 1496–1509.CrossRef He, O., Dong, S., Jang, W., Bian, J., & Pan, D. Z. (2012). UNISM: Unified scheduling and mapping for general networks on chip. IEEE Transactions on Very Large Scale Integration systems, 20(8), 1496–1509.CrossRef
12.
go back to reference Chou, C.-L., & Marculescu, R. (2011). FARM: Fault aware resource management in NoC based multiprocessor platforms. In Design, automation and test in Europe conference and exhibition (DATE). Chou, C.-L., & Marculescu, R. (2011). FARM: Fault aware resource management in NoC based multiprocessor platforms. In Design, automation and test in Europe conference and exhibition (DATE).
13.
go back to reference Khalili, F., & Zarandi, H. R. (2013). A fault tolerant core mapping technique in networks-on-chip. IET Computers & Digital Techniques, 7(6), 238–245.CrossRef Khalili, F., & Zarandi, H. R. (2013). A fault tolerant core mapping technique in networks-on-chip. IET Computers & Digital Techniques, 7(6), 238–245.CrossRef
16.
go back to reference Wiersema, T., Bockhorn, A., & Platzner, M. (2016). An architecture and design tool flow for embedding a virtual FPGA into a reconfigurable system-on-chip. Computers & Electrical Engineering, 55, 112–122.CrossRef Wiersema, T., Bockhorn, A., & Platzner, M. (2016). An architecture and design tool flow for embedding a virtual FPGA into a reconfigurable system-on-chip. Computers & Electrical Engineering, 55, 112–122.CrossRef
17.
go back to reference Sanchez-Macian, A., Shu, A., Reviriego, P., & Maestro, J. A. (2012). Enhanced detection of double and triple adjacent errors in hamming codes through selective bit placement. IEEE Transactions on Device and Materials Reliability, 12(2), 357–362.CrossRef Sanchez-Macian, A., Shu, A., Reviriego, P., & Maestro, J. A. (2012). Enhanced detection of double and triple adjacent errors in hamming codes through selective bit placement. IEEE Transactions on Device and Materials Reliability, 12(2), 357–362.CrossRef
18.
go back to reference Zhang, T., & Ding, Q. (2011) Design of (15, 11) Hamming code encoding and decoding system based on FPGA. In International conference on instrumentation, measurement, computer, communication and control (pp. 704–707). Zhang, T., & Ding, Q. (2011) Design of (15, 11) Hamming code encoding and decoding system based on FPGA. In International conference on instrumentation, measurement, computer, communication and control (pp. 704–707).
19.
go back to reference Wu, C., Deng, C., Liu, L., Han, J., Chen, J., Yin, S., et al. (2017). A multi-objective model oriented mapping approach for NoC-based computing systems. IEEE Transactions on Parallel and Distributed Systems, 8(3), 662–676.CrossRef Wu, C., Deng, C., Liu, L., Han, J., Chen, J., Yin, S., et al. (2017). A multi-objective model oriented mapping approach for NoC-based computing systems. IEEE Transactions on Parallel and Distributed Systems, 8(3), 662–676.CrossRef
20.
go back to reference Wu, C., Deng, C., Liu, L., Han, J., Chen, J., Yin, S., et al. (2015). An efficient application mapping approach for the co-optimization of reliability, energy, and performance in reconfigurable NoC architectures. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 34(8), 1264–1277.CrossRef Wu, C., Deng, C., Liu, L., Han, J., Chen, J., Yin, S., et al. (2015). An efficient application mapping approach for the co-optimization of reliability, energy, and performance in reconfigurable NoC architectures. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 34(8), 1264–1277.CrossRef
21.
go back to reference Jiang, S. Y., Luo, G., Liu, Y., Jiang, S. S., & Li, X. T. (2014). Fault-tolerant routing algorithm simulation and hardware verification of NoC. IEEE Transactions on Applied Superconductivity, 24(5), 1–5. Jiang, S. Y., Luo, G., Liu, Y., Jiang, S. S., & Li, X. T. (2014). Fault-tolerant routing algorithm simulation and hardware verification of NoC. IEEE Transactions on Applied Superconductivity, 24(5), 1–5.
22.
go back to reference Karthikeyan, A., & Kumar, P. S. (2017). Randomly prioritized buffer-less routing architecture for 3D network on chip. Computers & Electrical Engineering, 59, 39–50.CrossRef Karthikeyan, A., & Kumar, P. S. (2017). Randomly prioritized buffer-less routing architecture for 3D network on chip. Computers & Electrical Engineering, 59, 39–50.CrossRef
24.
go back to reference Bayar, S., & Yurdakul, A. (2015). PFMAP: Exploitation of particle filters for network-on-chip mapping. IEEE Transactions on Very Large Scale Integration Systems, 23(10), 2116–2127.CrossRef Bayar, S., & Yurdakul, A. (2015). PFMAP: Exploitation of particle filters for network-on-chip mapping. IEEE Transactions on Very Large Scale Integration Systems, 23(10), 2116–2127.CrossRef
Metadata
Title
Hardware implementation of fault tolerance NoC core mapping
Authors
Naresh Kumar Reddy Beechu
Vasantha Moodabettu Harishchandra
Nithin Kumar Yernad Balachandra
Publication date
11-12-2017
Publisher
Springer US
Published in
Telecommunication Systems / Issue 4/2018
Print ISSN: 1018-4864
Electronic ISSN: 1572-9451
DOI
https://doi.org/10.1007/s11235-017-0412-2

Other articles of this Issue 4/2018

Telecommunication Systems 4/2018 Go to the issue