Skip to main content
Top
Published in: Arabian Journal for Science and Engineering 9/2021

27-05-2021 | Research Article-Computer Engineering and Computer Science

Hybrid and Double Modular Redundancy (DMR)-Based Fault-Tolerant Carry Look-Ahead Adder Design

Authors: Ghashmi H. BinTalib, Aiman H. El-Maleh

Published in: Arabian Journal for Science and Engineering | Issue 9/2021

Log in

Activate our intelligent search to find suitable subject content or patents.

search-config
loading …

Abstract

The adder is an essential component of data paths, and as a result of the shrinking size of electronic devices, it is becoming more susceptible to manufacturing defects and soft errors. Thus, the design of fault-tolerant adders is crucial to the correct operation of arithmetic circuits. In this paper, we propose different fault-tolerant carry look-ahead adder designs against single-bit soft errors based on double modular redundancy DMR and hybrid fault-tolerant schemes. In DMR-based design, we combine a partial hardware redundancy scheme with a protected C-element to achieve full soft error masking, while in the hybrid design, we employ a partial hardware redundancy combined with a parity prediction scheme to improve fault tolerance capability of the adder while reducing area overhead. We use two different voter circuits for merging the partial hardware redundancy into the carry generation logic and to achieve higher fault masking rate and lower area overhead in comparison with existing approaches. Simulation results show that the proposed design schemes take precedence over other schemes in terms of failure rate, area overhead and delay overhead.

Dont have a licence yet? Then find out more about our products and how to get one now:

Springer Professional "Wirtschaft+Technik"

Online-Abonnement

Mit Springer Professional "Wirtschaft+Technik" erhalten Sie Zugriff auf:

  • über 102.000 Bücher
  • über 537 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Maschinenbau + Werkstoffe
  • Versicherung + Risiko

Jetzt Wissensvorsprung sichern!

Springer Professional "Technik"

Online-Abonnement

Mit Springer Professional "Technik" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 390 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Maschinenbau + Werkstoffe




 

Jetzt Wissensvorsprung sichern!

Literature
1.
go back to reference Johnson, B.W.: Design & Analysis of Fault Tolerant Digital Systems. Addison-Wesley Longman Publishing Co., Inc, New York (1988) Johnson, B.W.: Design & Analysis of Fault Tolerant Digital Systems. Addison-Wesley Longman Publishing Co., Inc, New York (1988)
2.
go back to reference Peterson, W.W.: On checking an adder. IBM J. Res. Dev. 2(2), 166–168 (1958)CrossRef Peterson, W.W.: On checking an adder. IBM J. Res. Dev. 2(2), 166–168 (1958)CrossRef
3.
go back to reference Peterson, W.W.; Weldon, E.J.: Error-Correcting Codes. MIT Press, Cambridge (1972)MATH Peterson, W.W.; Weldon, E.J.: Error-Correcting Codes. MIT Press, Cambridge (1972)MATH
4.
go back to reference Von Neumann, J.: Probabilistic logics and the synthesis of reliable organisms from unreliable components. Autom. Stud. 34, 43–98 (1956)MathSciNet Von Neumann, J.: Probabilistic logics and the synthesis of reliable organisms from unreliable components. Autom. Stud. 34, 43–98 (1956)MathSciNet
5.
go back to reference Teifel, J.: Self-voting dual-modular-redundancy circuits for single-event-transient mitigation. IEEE Trans. Nucl. Sci. 55(6), 3435–3439 (2008)CrossRef Teifel, J.: Self-voting dual-modular-redundancy circuits for single-event-transient mitigation. IEEE Trans. Nucl. Sci. 55(6), 3435–3439 (2008)CrossRef
6.
go back to reference Li, Y.; Li, Y.; Jie, H.; Hu, J.; Yang, F.; Zeng, X.; Cockburn, B.; Chen, J.: Feedback-based low-power soft-error-tolerant design for dual-modular redundancy. IEEE Trans. Very Large Scale Integr. (VLSI) Syst. 26(8), 1585–1589 (2018)CrossRef Li, Y.; Li, Y.; Jie, H.; Hu, J.; Yang, F.; Zeng, X.; Cockburn, B.; Chen, J.: Feedback-based low-power soft-error-tolerant design for dual-modular redundancy. IEEE Trans. Very Large Scale Integr. (VLSI) Syst. 26(8), 1585–1589 (2018)CrossRef
7.
go back to reference Mitra, S.; Zhang, M.; Waqas, S.; Seifert, N.; Gill, B.; Kim, K. S.: Combinational logic soft error correction. In: 2006 IEEE International Test Conference. IEEE, 2006, pp. 1–9. Mitra, S.; Zhang, M.; Waqas, S.; Seifert, N.; Gill, B.; Kim, K. S.: Combinational logic soft error correction. In: 2006 IEEE International Test Conference. IEEE, 2006, pp. 1–9.
8.
go back to reference Balasubramanian, A.; Bhuva, B.; Black, J.; Massengill, L.: RHBD techniques for mitigating effects of single-event hits using guard-gates. IEEE Trans. Nucl. Sci. 52(6), 2531–2535 (2005)CrossRef Balasubramanian, A.; Bhuva, B.; Black, J.; Massengill, L.: RHBD techniques for mitigating effects of single-event hits using guard-gates. IEEE Trans. Nucl. Sci. 52(6), 2531–2535 (2005)CrossRef
9.
go back to reference George, N.; Lach, J.: Characterization of logical masking and error propagation in combinational circuits and effects on system vulnerability. In: 2011 IEEE/IFIP 41st International Conference on Dependable Systems Networks (DSN), 2011, pp. 323–334. George, N.; Lach, J.: Characterization of logical masking and error propagation in combinational circuits and effects on system vulnerability. In: 2011 IEEE/IFIP 41st International Conference on Dependable Systems Networks (DSN), 2011, pp. 323–334.
10.
go back to reference Sogomonyan, E.; Ocheretnij, V.; Gossel, M.: A new code-disjoint sum-bit duplicated carry look-ahead adder for parity codes. In: Proceedings 10th Asian Test Symposium, pp.365–370. IEEE, 2001, Sogomonyan, E.; Ocheretnij, V.; Gossel, M.: A new code-disjoint sum-bit duplicated carry look-ahead adder for parity codes. In: Proceedings 10th Asian Test Symposium, pp.365–370. IEEE, 2001,
11.
go back to reference Nicolaidis, M.: Carry checking parity prediction adders and alus. IEEE Trans. Very Large Scale Integr. (VLSI) Syst. 11(1), 121–128 (2003)CrossRef Nicolaidis, M.: Carry checking parity prediction adders and alus. IEEE Trans. Very Large Scale Integr. (VLSI) Syst. 11(1), 121–128 (2003)CrossRef
12.
go back to reference Namazi, A.; Sedaghat, Y.; Miremadi, S. G.; Ejlali, A.: A low-cost fault-tolerant technique for carry look-ahead adder. In: 2009 15th IEEE International On-Line Testing Symposium, 2009, pp. 217–222. Namazi, A.; Sedaghat, Y.; Miremadi, S. G.; Ejlali, A.: A low-cost fault-tolerant technique for carry look-ahead adder. In: 2009 15th IEEE International On-Line Testing Symposium, 2009, pp. 217–222.
13.
go back to reference Townsend, W. J.; Abraham, J. A.; Swartzlander, E. E.: Quadruple time redundancy adders [error correcting adder]. In: Proceedings 18th IEEE Symposium on Defect and Fault Tolerance in VLSI Systems, 2003, pp. 250–256. Townsend, W. J.; Abraham, J. A.; Swartzlander, E. E.: Quadruple time redundancy adders [error correcting adder]. In: Proceedings 18th IEEE Symposium on Defect and Fault Tolerance in VLSI Systems, 2003, pp. 250–256.
14.
go back to reference Forsati, R.; Faez, K.; Moradi, F.; Rahbar, A.: A fault tolerant method for residue arithmetic circuits. In: International Conference on Information Management and Engineering , 2009, pp.2009, 59–63 Forsati, R.; Faez, K.; Moradi, F.; Rahbar, A.: A fault tolerant method for residue arithmetic circuits. In: International Conference on Information Management and Engineering , 2009, pp.2009, 59–63
15.
go back to reference Rao, W.; Orailoglu, A.; Karri, R.; Fault identification in reconfigurable carry lookahead adders targeting nanoelectronic fabrics. In: Eleventh IEEE European Test Symposium (ETS’06), 2006, pp. 63–68. Rao, W.; Orailoglu, A.; Karri, R.; Fault identification in reconfigurable carry lookahead adders targeting nanoelectronic fabrics. In: Eleventh IEEE European Test Symposium (ETS’06), 2006, pp. 63–68.
16.
go back to reference Valinataj, M.: A novel self-checking carry lookahead adder with multiple error detection/correction. Microprocess. Microsyst. 38(8), 1072–1081 (2014)CrossRef Valinataj, M.: A novel self-checking carry lookahead adder with multiple error detection/correction. Microprocess. Microsyst. 38(8), 1072–1081 (2014)CrossRef
17.
go back to reference Valinataj, M.: Fault-tolerant carry look-ahead adder architectures robust to multiple simultaneous errors. Microelectron. Reliab. 55(12), 2845–2857 (2015)CrossRef Valinataj, M.: Fault-tolerant carry look-ahead adder architectures robust to multiple simultaneous errors. Microelectron. Reliab. 55(12), 2845–2857 (2015)CrossRef
18.
go back to reference Valinataj, M.: Enhanced multiple-error resilient carry look-ahead adders through new customized fault-tolerant voters. Microelectron. Reliab. 96, 7–20 (2019)CrossRef Valinataj, M.: Enhanced multiple-error resilient carry look-ahead adders through new customized fault-tolerant voters. Microelectron. Reliab. 96, 7–20 (2019)CrossRef
19.
go back to reference Koren, I.: Computer arithmetic algorithms. 2nd Ed, p. 96.AK Peters. Ltd., Natick, MA( 2002). Koren, I.: Computer arithmetic algorithms. 2nd Ed, p. 96.AK Peters. Ltd., Natick, MA( 2002).
20.
go back to reference Kshirsagar, R.V.; Patrikar, R.M.: Design of a novel fault-tolerant voter circuit for tmr implementation to improve reliability in digital circuits. Microelectron. Reliab. 49(12), 1573–1577 (2009)CrossRef Kshirsagar, R.V.; Patrikar, R.M.: Design of a novel fault-tolerant voter circuit for tmr implementation to improve reliability in digital circuits. Microelectron. Reliab. 49(12), 1573–1577 (2009)CrossRef
21.
go back to reference Ban, T.; de Barros Naviner, L. A.: A simple fault-tolerant digital voter circuit in TMR nanoarchitectures. In: Proceedings of the 8th IEEE International NEWCAS Conference 2010, 2010, pp. 269–272. Ban, T.; de Barros Naviner, L. A.: A simple fault-tolerant digital voter circuit in TMR nanoarchitectures. In: Proceedings of the 8th IEEE International NEWCAS Conference 2010, 2010, pp. 269–272.
22.
go back to reference Smith, F.: A new methodology for single event transient suppression in flash fpgas. Microprocess. Microsyst. 37(3), 313–318 (2013)CrossRef Smith, F.: A new methodology for single event transient suppression in flash fpgas. Microprocess. Microsyst. 37(3), 313–318 (2013)CrossRef
23.
go back to reference Muller, D. E.; Bartky, W. S.: A theory of asynchronous circuits. In: Proceedings of an International Symposium on the Theory of Switching, pp.204–243. Harvard University Press, Cambridge, MA(1959). Muller, D. E.; Bartky, W. S.: A theory of asynchronous circuits. In: Proceedings of an International Symposium on the Theory of Switching, pp.204–243. Harvard University Press, Cambridge, MA(1959).
24.
go back to reference Shams, M.; Ebergen, J.; Elmasry, M.: Modeling and comparing cmos implementations of the c-element. IEEE Trans. Very Large Scale Integr. (VLSI) Syst. 6(4), 563–567 (1998)CrossRef Shams, M.; Ebergen, J.; Elmasry, M.: Modeling and comparing cmos implementations of the c-element. IEEE Trans. Very Large Scale Integr. (VLSI) Syst. 6(4), 563–567 (1998)CrossRef
25.
go back to reference Van Berkel, K.: Beware the isochronic fork. Integration 13(2), 103–128 (1992)CrossRef Van Berkel, K.: Beware the isochronic fork. Integration 13(2), 103–128 (1992)CrossRef
26.
go back to reference Mitra, S.; Seifert, N.; Zhang, M.; Shi, Q.; Kim, K.S.: Robust system design with built-in soft-error resilience. Computer 38(2), 43–52 (2005)CrossRef Mitra, S.; Seifert, N.; Zhang, M.; Shi, Q.; Kim, K.S.: Robust system design with built-in soft-error resilience. Computer 38(2), 43–52 (2005)CrossRef
27.
go back to reference Shams, M.; Ebergen, J.; Elmasry, M. I.: A comparison of CMOS implementations of an asynchronous circuits primitive: the C-element. In: Proceedings of 1996 International Symposium on Low Power Electronics and Design, pp. 93–96 IEEE(1996) Shams, M.; Ebergen, J.; Elmasry, M. I.: A comparison of CMOS implementations of an asynchronous circuits primitive: the C-element. In: Proceedings of 1996 International Symposium on Low Power Electronics and Design, pp. 93–96 IEEE(1996)
28.
go back to reference Sheikh, A.T.; El-Maleh, A.H.; Elrabaa, M.E.S.; Sait, S.M.: A fault tolerance technique for combinational circuits based on selective-transistor redundancy. IEEE Trans. Very Large Scale Integr. Syst. 25(1), 24–237 (2017)CrossRef Sheikh, A.T.; El-Maleh, A.H.; Elrabaa, M.E.S.; Sait, S.M.: A fault tolerance technique for combinational circuits based on selective-transistor redundancy. IEEE Trans. Very Large Scale Integr. Syst. 25(1), 24–237 (2017)CrossRef
29.
go back to reference Messenger, G.C.: Collection of charge on junction nodes from ion tracks. IEEE Trans. Nucl. Sci. 29(6), 2024–2031 (1982)CrossRef Messenger, G.C.: Collection of charge on junction nodes from ion tracks. IEEE Trans. Nucl. Sci. 29(6), 2024–2031 (1982)CrossRef
30.
go back to reference Dharchoudhury, A.; Kang, S.; Cha, H.; Patel, J.: Fast timing simulation of transient faults in digital circuits. In: IEEE,1994, IEEE/ACM International Conference on Computer-Aided Design, pp. 719–726 IEEE(1994) Dharchoudhury, A.; Kang, S.; Cha, H.; Patel, J.: Fast timing simulation of transient faults in digital circuits. In: IEEE,1994, IEEE/ACM International Conference on Computer-Aided Design, pp. 719–726 IEEE(1994)
31.
go back to reference Zhou, Q.; Mohanram, K.: Cost-effective radiation hardening technique for combinational logic. In: IEEE/ACM International Conference on Computer Aided Design, 2004. ICCAD-2004., Nov 2004, pp. 100–106. Zhou, Q.; Mohanram, K.: Cost-effective radiation hardening technique for combinational logic. In: IEEE/ACM International Conference on Computer Aided Design, 2004. ICCAD-2004., Nov 2004, pp. 100–106.
32.
go back to reference Lazzari, C.; Wirth, G.; Kastensmidt, F.L.; Anghel, L.; Reis, R.A.D.L.: Asymmetric transistor sizing targeting radiation-hardened circuits. Electr. Eng. 94(1), 11–18 (2012)CrossRef Lazzari, C.; Wirth, G.; Kastensmidt, F.L.; Anghel, L.; Reis, R.A.D.L.: Asymmetric transistor sizing targeting radiation-hardened circuits. Electr. Eng. 94(1), 11–18 (2012)CrossRef
Metadata
Title
Hybrid and Double Modular Redundancy (DMR)-Based Fault-Tolerant Carry Look-Ahead Adder Design
Authors
Ghashmi H. BinTalib
Aiman H. El-Maleh
Publication date
27-05-2021
Publisher
Springer Berlin Heidelberg
Published in
Arabian Journal for Science and Engineering / Issue 9/2021
Print ISSN: 2193-567X
Electronic ISSN: 2191-4281
DOI
https://doi.org/10.1007/s13369-021-05708-2

Other articles of this Issue 9/2021

Arabian Journal for Science and Engineering 9/2021 Go to the issue

Premium Partners