Skip to main content
Top
Published in: Journal of Electronic Testing 5/2018

20-08-2018

Impact of Aging on the Reliability of Delay PUFs

Authors: Naghmeh Karimi, Jean-Luc Danger, Sylvain Guilley

Published in: Journal of Electronic Testing | Issue 5/2018

Log in

Activate our intelligent search to find suitable subject content or patents.

search-config
loading …

Abstract

Physically Unclonable Functions (PUFs) are mainly used for generating unique keys to identify electronic devices. These entities mainly benefit from the process variations occurring during the device manufacturing. To be able to use PUFs to identify electronic devices or to utilize them in cryptographic applications, the reliability of PUFs needs to be assured under a wide variety of environmental conditions and aging mechanisms, including the switching activity of the PUFs’ internal signals. In practice, it is important to evaluate aging effects as early as possible, preferentially at design time. In this paper, we evaluate the impact of aging on two types of delay-PUFs (arbiter-PUFs and loop-PUFs) with different switching activities. This work takes advantage of both simulation tool and silicon tests on a 65nm ASIC implementation. To expedite the simulation process and get rid of conducting simulations of multiple delay-element PUFs, we propose an extrapolation method to evaluate the effect of BTI (Bias Temperature-Instability) and HCI (Hot Carrier Injection) aging under different switching activities on PUFs with multiple delay elements using the aging effects on single delay-element PUFs. The results show that switching activity (expressed in terms of transitions/time) has a limited impact on delay chains of considered delay-PUFs, while it has a greater impact on the arbiter (RS latch) of the arbiter-PUF. The simulation results show that the aging-related Bit Error Rate in an arbiter-PUF with high switching activity can be 11 times worse than the Bit Error Rate in the same PUF when there is no activity in 20 months.

Dont have a licence yet? Then find out more about our products and how to get one now:

Springer Professional "Wirtschaft+Technik"

Online-Abonnement

Mit Springer Professional "Wirtschaft+Technik" erhalten Sie Zugriff auf:

  • über 102.000 Bücher
  • über 537 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Maschinenbau + Werkstoffe
  • Versicherung + Risiko

Jetzt Wissensvorsprung sichern!

Springer Professional "Technik"

Online-Abonnement

Mit Springer Professional "Technik" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 390 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Maschinenbau + Werkstoffe




 

Jetzt Wissensvorsprung sichern!

Springer Professional "Wirtschaft"

Online-Abonnement

Mit Springer Professional "Wirtschaft" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 340 Zeitschriften

aus folgenden Fachgebieten:

  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Versicherung + Risiko




Jetzt Wissensvorsprung sichern!

Show more products
Footnotes
1
The Y axis has not been shown to make the graph generic for different technologies.
 
2
The Y axis has not been shown to make the graph generic for different technologies.
 
Literature
1.
go back to reference Alam MA, Kufluoglu H, Varghese D, Mahapatra S (2007) A comprehensive model for PMOS NBTI degradation: recent progress. Microelectron Reliab 47(6):853–862CrossRef Alam MA, Kufluoglu H, Varghese D, Mahapatra S (2007) A comprehensive model for PMOS NBTI degradation: recent progress. Microelectron Reliab 47(6):853–862CrossRef
2.
go back to reference Bhargava M, Mai K (2014) An efficient reliable PUF-based cryptographic key generator in 65nm CMOS. In: Design, automation & test in Europe (DATE), pp 70:1–70:6 Bhargava M, Mai K (2014) An efficient reliable PUF-based cryptographic key generator in 65nm CMOS. In: Design, automation & test in Europe (DATE), pp 70:1–70:6
3.
go back to reference Cao Y, Zhang L, Chang C, Chen S (2015) A low-power hybrid RO PUF with improved thermal stability for lightweight applications. IEEE Trans CAD Integrated Circ Syst 34(7):1143–1147CrossRef Cao Y, Zhang L, Chang C, Chen S (2015) A low-power hybrid RO PUF with improved thermal stability for lightweight applications. IEEE Trans CAD Integrated Circ Syst 34(7):1143–1147CrossRef
4.
go back to reference Cha S, Chen C-C, Liu T, Milor LS (2014) Extraction of threshold voltage degradation modeling due to negative bias temperature instability in circuits with I/O measurements. In: VLSI test symposium (VTS), pp 1–6 Cha S, Chen C-C, Liu T, Milor LS (2014) Extraction of threshold voltage degradation modeling due to negative bias temperature instability in circuits with I/O measurements. In: VLSI test symposium (VTS), pp 1–6
5.
go back to reference Cherif Z, Danger J-L, Guilley S, Bossuet L (2012) An easy-to-design PUF based on a single oscillator: the Loop PUF in DSD Cherif Z, Danger J-L, Guilley S, Bossuet L (2012) An easy-to-design PUF based on a single oscillator: the Loop PUF in DSD
6.
go back to reference Cherif Z, Danger J-L, Lozac’h F, Mathieu Y, Bossuet L (2013) Evaluation of delay PUFs on cmos 65 nm technology: ASIC vs FPGA. In: International workshop on hardware and architectural support for security and privacy (HASP), pp 4:1–4:8 Cherif Z, Danger J-L, Lozac’h F, Mathieu Y, Bossuet L (2013) Evaluation of delay PUFs on cmos 65 nm technology: ASIC vs FPGA. In: International workshop on hardware and architectural support for security and privacy (HASP), pp 4:1–4:8
7.
go back to reference Ching SP, Ping CT, Sun YH (2008) Studies of the critical LDD area for HCI improvement. In: International conference on semiconductor electronics, pp 622–625 Ching SP, Ping CT, Sun YH (2008) Studies of the critical LDD area for HCI improvement. In: International conference on semiconductor electronics, pp 622–625
8.
go back to reference Crupi F, Pace C, Cocorullo G, Groeseneken G, Aoulaiche M, Houssa M (2005) Positive bias temperature instability in nMOSFETs with ultra-thin hf-silicate gate dielectrics. Microelectron Eng 80:130–133CrossRef Crupi F, Pace C, Cocorullo G, Groeseneken G, Aoulaiche M, Houssa M (2005) Positive bias temperature instability in nMOSFETs with ultra-thin hf-silicate gate dielectrics. Microelectron Eng 80:130–133CrossRef
9.
go back to reference Gassend B, Clarke DE, van Dijk M, Devadas S (2002) Silicon physical random functions. In: ACM conference on computer and communications security, CCS 2002, pp 148–160 Gassend B, Clarke DE, van Dijk M, Devadas S (2002) Silicon physical random functions. In: ACM conference on computer and communications security, CCS 2002, pp 148–160
10.
go back to reference Gerrer L, Ding J, Amoroso SM, Adamu-Lema F, Hussin R, Reid D, Millar C, Asenov A (2014) Modelling RTN and BTI in nanoscale MOSFETs from device to circuit: a review. Microelectron Reliab 54 (4):682–697CrossRef Gerrer L, Ding J, Amoroso SM, Adamu-Lema F, Hussin R, Reid D, Millar C, Asenov A (2014) Modelling RTN and BTI in nanoscale MOSFETs from device to circuit: a review. Microelectron Reliab 54 (4):682–697CrossRef
11.
go back to reference Guajardo J, Kumar SS, Schrijen G-J, Tuyls P (2007) FPGA intrinsic PUFs and their use for IP protection. In: Cryptographic hardware and embedded systems (CHES), pp 63–80 Guajardo J, Kumar SS, Schrijen G-J, Tuyls P (2007) FPGA intrinsic PUFs and their use for IP protection. In: Cryptographic hardware and embedded systems (CHES), pp 63–80
12.
go back to reference Holcomb DE, Burleson WP, Fu K (2009) Power-up SRAM state as an identifying fingerprint and source of true random numbers. IEEE Trans Comput 58(9):1198–1210MathSciNetCrossRef Holcomb DE, Burleson WP, Fu K (2009) Power-up SRAM state as an identifying fingerprint and source of true random numbers. IEEE Trans Comput 58(9):1198–1210MathSciNetCrossRef
13.
go back to reference Hori Y, Yoshida T, Katashita T, Satoh A (2010) Quantitative and statistical performance evaluation of arbiter physical unclonable functions on FPGAs. In: International conference on reconfigurable computing and FPGAs, pp 298–303 Hori Y, Yoshida T, Katashita T, Satoh A (2010) Quantitative and statistical performance evaluation of arbiter physical unclonable functions on FPGAs. In: International conference on reconfigurable computing and FPGAs, pp 298–303
14.
go back to reference Hosey A, Rahman MT, Xiao K, Forte D, Tehranipoor M (2014) Advanced analysis of cell stability for reliable SRAM PUFs. In: Asian test symposium (ATS), pp 348–353 Hosey A, Rahman MT, Xiao K, Forte D, Tehranipoor M (2014) Advanced analysis of cell stability for reliable SRAM PUFs. In: Asian test symposium (ATS), pp 348–353
16.
go back to reference Karimi N, Danger J-L, Guilley S, Lozach F (2016) Predictive aging of reliability of two delay PUFs. In: Security, privacy, and applied cryptography engineering (SPACE), pp 213–232CrossRef Karimi N, Danger J-L, Guilley S, Lozach F (2016) Predictive aging of reliability of two delay PUFs. In: Security, privacy, and applied cryptography engineering (SPACE), pp 213–232CrossRef
17.
go back to reference Karimi N, Slimani J-LDM, Guilley S (2017) Impact of the switching activity on the aging of delay-PUFs. In: European Test Symp. (ETS) Karimi N, Slimani J-LDM, Guilley S (2017) Impact of the switching activity on the aging of delay-PUFs. In: European Test Symp. (ETS)
18.
go back to reference Karimi N, Guilley S, Danger J- L (2018) Impact of aging on template attacks. In: Proceedings of the ACM great lakes symposium on VLSI (GlSVLSI), pp 455–458 Karimi N, Guilley S, Danger J- L (2018) Impact of aging on template attacks. In: Proceedings of the ACM great lakes symposium on VLSI (GlSVLSI), pp 455–458
19.
go back to reference Karimi N, Danger J-L, Guilley S (2018) On the effect of aging in detecting hardware trojan horses with template analysis. In: Proceedings of the international symposium on on-line testing and robust system design (IOLTS) Karimi N, Danger J-L, Guilley S (2018) On the effect of aging in detecting hardware trojan horses with template analysis. In: Proceedings of the international symposium on on-line testing and robust system design (IOLTS)
20.
go back to reference Kim KK (2015) On-chip delay degradation measurement for aging compensation. Indian J Sci Technol 8:8 Kim KK (2015) On-chip delay degradation measurement for aging compensation. Indian J Sci Technol 8:8
21.
go back to reference Kirkpatrick MS, Bertino E (2010) Software techniques to combat drift in PUF-based authentication systems. In: Workshop on secure component and system identification (SECSI), p 9 Kirkpatrick MS, Bertino E (2010) Software techniques to combat drift in PUF-based authentication systems. In: Workshop on secure component and system identification (SECSI), p 9
22.
go back to reference Krishnan AT, Chancellor C, Chakravarthi S, Nicollian PE, Reddy V, Varghese A, Khamankar R, Krishnan S (2005) Material dependence of hydrogen diffusion: implications for NBTI degradation. In: International electron devices meeting (IEDM), pp 688–691 Krishnan AT, Chancellor C, Chakravarthi S, Nicollian PE, Reddy V, Varghese A, Khamankar R, Krishnan S (2005) Material dependence of hydrogen diffusion: implications for NBTI degradation. In: International electron devices meeting (IEDM), pp 688–691
23.
go back to reference Kufluoglu H, Alam MA (2007) A generalized reaction-diffusion model with explicit h-h2 dynamics for negative-bias temperature-instability (NBTI) degradation. IEEE Trans Electron Dev 54(5):1101–1107CrossRef Kufluoglu H, Alam MA (2007) A generalized reaction-diffusion model with explicit h-h2 dynamics for negative-bias temperature-instability (NBTI) degradation. IEEE Trans Electron Dev 54(5):1101–1107CrossRef
24.
go back to reference Lu Y, Shang L, Zhou H, Zhu H, Yang F, Zeng X (2009) Statistical reliability analysis under process variation and aging effects. In: Design automation conference (DAC), pp 514–519 Lu Y, Shang L, Zhou H, Zhu H, Yang F, Zeng X (2009) Statistical reliability analysis under process variation and aging effects. In: Design automation conference (DAC), pp 514–519
25.
go back to reference Maes R, van der Leest V (2014) Countering the effects of silicon aging on SRAM PUFs. In: International symposium hardware-oriented security and trust (HOST), pp 148–153 Maes R, van der Leest V (2014) Countering the effects of silicon aging on SRAM PUFs. In: International symposium hardware-oriented security and trust (HOST), pp 148–153
26.
go back to reference Mahapatra S, Saha D, Varghese D, Kumar P (2006) On the generation and recovery of interface traps in MOSFETs subjected to NBTI, FN, and HCI stress. IEEE Trans Electron Dev 53(7):1583–1592CrossRef Mahapatra S, Saha D, Varghese D, Kumar P (2006) On the generation and recovery of interface traps in MOSFETs subjected to NBTI, FN, and HCI stress. IEEE Trans Electron Dev 53(7):1583–1592CrossRef
27.
go back to reference Maiti A, Schaumont P (Sep 2014) The impact of aging on a physical unclonable function. IEEE Trans Very Large Scale Integrated Syst (TVLSI) 22(9):1854–1864CrossRef Maiti A, Schaumont P (Sep 2014) The impact of aging on a physical unclonable function. IEEE Trans Very Large Scale Integrated Syst (TVLSI) 22(9):1854–1864CrossRef
28.
go back to reference Mispan MS, Halak B, Zwolinski M (2016) NBTI aging evaluation of PUF-based differential architectures. In: International symposium on on-line testing and robust system design (IOLTS), pp 103–108 Mispan MS, Halak B, Zwolinski M (2016) NBTI aging evaluation of PUF-based differential architectures. In: International symposium on on-line testing and robust system design (IOLTS), pp 103–108
29.
go back to reference Mizan E (2008) Efficient fault tolerance for pipelined structures and its application to superscalar and dataflow machines. Ph.D. thesis, Electrical and Computer Engineering Dept. University of Texas At Austin Mizan E (2008) Efficient fault tolerance for pipelined structures and its application to superscalar and dataflow machines. Ph.D. thesis, Electrical and Computer Engineering Dept. University of Texas At Austin
30.
go back to reference Morozov S, Maiti A, Schaumont P (2010) An analysis of delay based PUF implementations on FPGA. In: Reconfigurable computing: architectures, tools and applications (ARC), pp 382–387 Morozov S, Maiti A, Schaumont P (2010) An analysis of delay based PUF implementations on FPGA. In: Reconfigurable computing: architectures, tools and applications (ARC), pp 382–387
32.
go back to reference Nunes C, Butzen PF, Reis AI, Ribas RP (2013) BTI, HCI and TDDB aging impact in flip-flops. Microelectron Reliab 53(6-11):1355–1359CrossRef Nunes C, Butzen PF, Reis AI, Ribas RP (2013) BTI, HCI and TDDB aging impact in flip-flops. Microelectron Reliab 53(6-11):1355–1359CrossRef
33.
go back to reference Oboril F, Tahoori MB (2012) Extratime: modeling and analysis of wearout due to transistor aging at microarchitecture-level. In: Dependable systems and networks (DSN), pp 1–12 Oboril F, Tahoori MB (2012) Extratime: modeling and analysis of wearout due to transistor aging at microarchitecture-level. In: Dependable systems and networks (DSN), pp 1–12
34.
go back to reference Pelgrom MJ, Duinmaijer AC, Welbers AP (1989) Matching properties of MOS transistors. IEEE J Solid State Circuits 24(5):1433–1439CrossRef Pelgrom MJ, Duinmaijer AC, Welbers AP (1989) Matching properties of MOS transistors. IEEE J Solid State Circuits 24(5):1433–1439CrossRef
35.
go back to reference Rahman MT, Forte D, Fahrny J, Tehranipoor M (2014) ARO-PUF: an aging-resistant ring oscillator PUF design. In: Design, automation test in Europe conference (DATE), pp 1–6 Rahman MT, Forte D, Fahrny J, Tehranipoor M (2014) ARO-PUF: an aging-resistant ring oscillator PUF design. In: Design, automation test in Europe conference (DATE), pp 1–6
36.
go back to reference Rahman MT, Rahman F, Forte D, Tehranipoor M (July 2016) An aging-resistant RO-PUF for reliable key generation. IEEE Trans Emerg Topics Comput 4(3):335–348 Rahman MT, Rahman F, Forte D, Tehranipoor M (July 2016) An aging-resistant RO-PUF for reliable key generation. IEEE Trans Emerg Topics Comput 4(3):335–348
37.
go back to reference Rioul O, Solé P, Guilley S, Danger J-L (2016) On the entropy of physically unclonable functions. In: IEEE international symposium on information theory (ISIT). Barcelona Rioul O, Solé P, Guilley S, Danger J-L (2016) On the entropy of physically unclonable functions. In: IEEE international symposium on information theory (ISIT). Barcelona
38.
go back to reference Rodriguez R, Stathis J, Linder B (2003) Modeling and experimental verification of the effect of gate oxide breakdown on CMOS inverters. In: IEEE international reliability physics symposium, pp 11–16 Rodriguez R, Stathis J, Linder B (2003) Modeling and experimental verification of the effect of gate oxide breakdown on CMOS inverters. In: IEEE international reliability physics symposium, pp 11–16
39.
go back to reference Rukhin A, et al. (2001) A statistical test suite for random and pseudorandom number generators for cryptographic applications. National Institute of Standards and Technology (NIST) Rukhin A, et al. (2001) A statistical test suite for random and pseudorandom number generators for cryptographic applications. National Institute of Standards and Technology (NIST)
40.
go back to reference Schroder DK (2007) Negative bias temperature instability: what do we understand? Microelectron Reliab 47 (6):841–852CrossRef Schroder DK (2007) Negative bias temperature instability: what do we understand? Microelectron Reliab 47 (6):841–852CrossRef
41.
go back to reference Suh GE, Devadas S (2007) Physical unclonable functions for device authentication and secret key generation. In: Design automation conference (DAC), pp 9–14 Suh GE, Devadas S (2007) Physical unclonable functions for device authentication and secret key generation. In: Design automation conference (DAC), pp 9–14
42.
go back to reference Sutaria KB, Velamala JB, Ramkumar A, Cao Y (2015) Compact modeling of BTI for circuit reliability analysis. In: Circuit design for reliability, pp 93–119 Sutaria KB, Velamala JB, Ramkumar A, Cao Y (2015) Compact modeling of BTI for circuit reliability analysis. In: Circuit design for reliability, pp 93–119
43.
go back to reference Synopsys (2016) HSPICE user guide: basic simulation and analysis Synopsys (2016) HSPICE user guide: basic simulation and analysis
44.
go back to reference Tiwari A, Torrellas J (2008) Facelift: hiding and slowing down aging in multicores. In: International symposium on microarchitecture, pp 129–140 Tiwari A, Torrellas J (2008) Facelift: hiding and slowing down aging in multicores. In: International symposium on microarchitecture, pp 129–140
45.
go back to reference Wang W, Yang S, Bhardwaj S, Vrudhula S, Liu F, Cao Y (2010) The impact of NBTI effect on combinational circuit: modeling, simulation, and analysis. IEEE Trans Very Large Scale Integr Syst 18(2):173–183CrossRef Wang W, Yang S, Bhardwaj S, Vrudhula S, Liu F, Cao Y (2010) The impact of NBTI effect on combinational circuit: modeling, simulation, and analysis. IEEE Trans Very Large Scale Integr Syst 18(2):173–183CrossRef
46.
go back to reference Xiao K, Rahman MT, Forte D, Huang Y, Su M, Tehranipoor M (2014) Bit selection algorithm suitable for high-volume production of SRAM-PUF. In: International symposium on hardware-oriented security and trust (HOST), pp 101–106 Xiao K, Rahman MT, Forte D, Huang Y, Su M, Tehranipoor M (2014) Bit selection algorithm suitable for high-volume production of SRAM-PUF. In: International symposium on hardware-oriented security and trust (HOST), pp 101–106
47.
go back to reference Yilmaz M, Chakrabarty K, Tehranipoor M (2008) Test-pattern grading and pattern selection for small-delay defects. In: VTS, pp 233–239 Yilmaz M, Chakrabarty K, Tehranipoor M (2008) Test-pattern grading and pattern selection for small-delay defects. In: VTS, pp 233–239
48.
go back to reference Zafar S, Kim Y, Narayanan V, Cabral C, Paruchuri V, Doris B, Stathis J, Callegari A, Chudzik M (2006) A comparative study of NBTI and PBTI (charge trapping) in SiO2/HfO2 stacks with FUSI, TiN, Re gates. In: Symposium on VLSI technology, pp 23–25 Zafar S, Kim Y, Narayanan V, Cabral C, Paruchuri V, Doris B, Stathis J, Callegari A, Chudzik M (2006) A comparative study of NBTI and PBTI (charge trapping) in SiO2/HfO2 stacks with FUSI, TiN, Re gates. In: Symposium on VLSI technology, pp 23–25
Metadata
Title
Impact of Aging on the Reliability of Delay PUFs
Authors
Naghmeh Karimi
Jean-Luc Danger
Sylvain Guilley
Publication date
20-08-2018
Publisher
Springer US
Published in
Journal of Electronic Testing / Issue 5/2018
Print ISSN: 0923-8174
Electronic ISSN: 1573-0727
DOI
https://doi.org/10.1007/s10836-018-5745-6

Other articles of this Issue 5/2018

Journal of Electronic Testing 5/2018 Go to the issue