Skip to main content
Top
Published in:
Cover of the book

2018 | OriginalPaper | Chapter

1. Introduction

Authors : Wen-Wei Chen, Jiann-Fuh Chen

Published in: Control Techniques for Power Converters with Integrated Circuit

Publisher: Springer Singapore

Activate our intelligent search to find suitable subject content or patents.

search-config
loading …

Abstract

There have been many DC-DC power converters which are utilized in portable electronic devices, such as cellular phones and laptop computers, which are primarily supplied with power by batteries or adapters, regardless of the switching power converters or the linear power converters. For development trend of the power converters of integrated circuit, those designed to reduce standby power loss, feature well load regulation, fast load transient response, and high efficiency due to system design. A brief review of the pulse width modulation control mode and the pulse frequency modulation control mode of integrated circuit will be given in this chapter. This chapter would help readers to have a good overall view and appreciate the material in later chapter.

Dont have a licence yet? Then find out more about our products and how to get one now:

Springer Professional "Wirtschaft+Technik"

Online-Abonnement

Mit Springer Professional "Wirtschaft+Technik" erhalten Sie Zugriff auf:

  • über 102.000 Bücher
  • über 537 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Maschinenbau + Werkstoffe
  • Versicherung + Risiko

Jetzt Wissensvorsprung sichern!

Springer Professional "Technik"

Online-Abonnement

Mit Springer Professional "Technik" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 390 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Maschinenbau + Werkstoffe




 

Jetzt Wissensvorsprung sichern!

Literature
1.
go back to reference P. Burger, Analysis of a class of pulse modulated dc-to-dc power converters, IEEE Trans. Ind. Elect. Contr. Instrum. IECI-22, 104 (1975) P. Burger, Analysis of a class of pulse modulated dc-to-dc power converters, IEEE Trans. Ind. Elect. Contr. Instrum. IECI-22, 104 (1975)
2.
go back to reference L.E. Gallaher, Current regulator with AC and DC feedback, U.S. Patent 3 350 628, 31 Oct 1967 L.E. Gallaher, Current regulator with AC and DC feedback, U.S. Patent 3 350 628, 31 Oct 1967
3.
go back to reference A.D. Schoenfeld, Y. Yu, ASDTIC control and standardized interface circuits applied to buck, parallel and buck-boost DC-to-DC power converters (NASA, Washington, DC, NASA Rep. NASA CR-121106, Feb. 1973) A.D. Schoenfeld, Y. Yu, ASDTIC control and standardized interface circuits applied to buck, parallel and buck-boost DC-to-DC power converters (NASA, Washington, DC, NASA Rep. NASA CR-121106, Feb. 1973)
4.
go back to reference C.W. Deisch, Switching control method changes power converter into a current source, in Proceedings IEEE Power Electronics Specialists Conference, (1978), pp. 300–306 C.W. Deisch, Switching control method changes power converter into a current source, in Proceedings IEEE Power Electronics Specialists Conference, (1978), pp. 300–306
5.
go back to reference P.L. Hunter, Converter circuit and method having fast responding current balance and limiting, U.S. Patent 4 002 963, 1 Nov 1977 P.L. Hunter, Converter circuit and method having fast responding current balance and limiting, U.S. Patent 4 002 963, 1 Nov 1977
6.
go back to reference L.H. Dixon, Average current-mode control of switching power supplies, in Proceedings Unitrode Power Supply Design Seminars Handbook, (1990), pp. 5.1–5.14 L.H. Dixon, Average current-mode control of switching power supplies, in Proceedings Unitrode Power Supply Design Seminars Handbook, (1990), pp. 5.1–5.14
7.
go back to reference N. Mohan, Power electronics circuits: An overview,” in Proceedings IEEE Industrial Electronics Society Conference, (1988), pp. 522–527 N. Mohan, Power electronics circuits: An overview,” in Proceedings IEEE Industrial Electronics Society Conference, (1988), pp. 522–527
8.
go back to reference N. Mohan, W.P. Robbins, P. Imbertson, T.M. Undeland, R.C. Panaitescu, A.K. Jain, P. Jose, T. Begalke, Restructuring of first courses in power electronics and electric drives that integrates digital control. IEEE Trans. Power Electron. 18, 429–437 (2003)CrossRef N. Mohan, W.P. Robbins, P. Imbertson, T.M. Undeland, R.C. Panaitescu, A.K. Jain, P. Jose, T. Begalke, Restructuring of first courses in power electronics and electric drives that integrates digital control. IEEE Trans. Power Electron. 18, 429–437 (2003)CrossRef
9.
go back to reference R.D. Middlebrook, S. Cuk, A general unified approach to modeling switching-converter power states, in Proceedings of IEEE Power Electronics Specialists Conference, (1976), pp. 18 R.D. Middlebrook, S. Cuk, A general unified approach to modeling switching-converter power states, in Proceedings of IEEE Power Electronics Specialists Conference, (1976), pp. 18
10.
go back to reference D.Y. Chen, H.A. Owen, T.G. Wilson, Computer aided design and graphics applied to the study of inductor-energy-storage dc-to-dc electronic power converters. IEEE Trans. Aerosp. Electron. Syst. AES-9, 585 (1973) D.Y. Chen, H.A. Owen, T.G. Wilson, Computer aided design and graphics applied to the study of inductor-energy-storage dc-to-dc electronic power converters. IEEE Trans. Aerosp. Electron. Syst. AES-9, 585 (1973)
11.
go back to reference R.W. Erickson, D. Maksimovic, Fundamentals of power electronics (Norwell, MA, Kluwer, 2001)CrossRef R.W. Erickson, D. Maksimovic, Fundamentals of power electronics (Norwell, MA, Kluwer, 2001)CrossRef
12.
go back to reference K.H. Chen, H.W. Huang, S.Y. Kuo, Fast-transient DC–DC converter with on-chip compensated error amplifier. IEEE Trans. Circuits Syst. II Express Briefs 54(12), 1150–1154 (2007)CrossRef K.H. Chen, H.W. Huang, S.Y. Kuo, Fast-transient DC–DC converter with on-chip compensated error amplifier. IEEE Trans. Circuits Syst. II Express Briefs 54(12), 1150–1154 (2007)CrossRef
13.
go back to reference C.L. Chiu, K.H. Chen, A high accuracy current-balanced control technique for LED backlight, in Proceendings of IEEE Power Electronics Specialists Conference, (2008), pp. 4202–4206 C.L. Chiu, K.H. Chen, A high accuracy current-balanced control technique for LED backlight, in Proceendings of IEEE Power Electronics Specialists Conference, (2008), pp. 4202–4206
14.
go back to reference D. Rand, B. Lehman, A. Shteynberg, Issues, models and solutions for triac modulated phase dimming of led lamps, in 2007 IEEE Power Electronics Specialists Conference, (June 2007), pp. 1398–1404 D. Rand, B. Lehman, A. Shteynberg, Issues, models and solutions for triac modulated phase dimming of led lamps, in 2007 IEEE Power Electronics Specialists Conference, (June 2007), pp. 1398–1404
15.
go back to reference A. Morrison, J.W. Zapata, S. Kouro, M.A. Perez, T.A. Meynard, H. Renaudineau, Partiat power dc-dc converter for photovoltaic two-stage string inverters, in 2016 IEEE Energy Conversion Congress and Exposition (ECCE), (September 2016) A. Morrison, J.W. Zapata, S. Kouro, M.A. Perez, T.A. Meynard, H. Renaudineau, Partiat power dc-dc converter for photovoltaic two-stage string inverters, in 2016 IEEE Energy Conversion Congress and Exposition (ECCE), (September 2016)
16.
go back to reference J.W. Zapata, T.A. Meynard, S. Kouro, Partial power dc-dc converter for large-scale photovoltaic systems, in 2016 IEEE 2nd Annual Southern Power Electronics Conference (SPEC), (December 2016), pp. 1–6 J.W. Zapata, T.A. Meynard, S. Kouro, Partial power dc-dc converter for large-scale photovoltaic systems, in 2016 IEEE 2nd Annual Southern Power Electronics Conference (SPEC), (December 2016), pp. 1–6
17.
go back to reference S. Saponara, G. Pasetti, N. Costantino, F. Tinfena, P.D’Abramo, L. Fanucci, A flexible LED driver for automotive lighting applications: IC design and experimental characterization. IEEE Trans. Power Electron. 27(3), 1071–1075 (2012) S. Saponara, G. Pasetti, N. Costantino, F. Tinfena, P.D’Abramo, L. Fanucci, A flexible LED driver for automotive lighting applications: IC design and experimental characterization. IEEE Trans. Power Electron. 27(3), 1071–1075 (2012)
18.
go back to reference C.-S. Moo, Y.-J. Chen, W.-C. Yang, An efficient driver for dimmable LED lighting. IEEE Trans. Power Electron. 27(11), 4613–4618 (2012) C.-S. Moo, Y.-J. Chen, W.-C. Yang, An efficient driver for dimmable LED lighting. IEEE Trans. Power Electron. 27(11), 4613–4618 (2012)
19.
go back to reference G. Sauerlander, D. Hente, H. Radermacher, E. Waffenschmidt, J. Jacobs, Driver electronics for LEDs, Proc. Conf. Rec. IEEE Ind. Appl. Conf. 2621–2626 (2006) G. Sauerlander, D. Hente, H. Radermacher, E. Waffenschmidt, J. Jacobs, Driver electronics for LEDs, Proc. Conf. Rec. IEEE Ind. Appl. Conf. 2621–2626 (2006)
20.
go back to reference G. Rincon-Mora, P. Allen, A low-voltage low quiescent current low drop-out regulator. IEEE J. Solid-State Circuits. 33(1), 36–44 (1998) G. Rincon-Mora, P. Allen, A low-voltage low quiescent current low drop-out regulator. IEEE J. Solid-State Circuits. 33(1), 36–44 (1998)
21.
go back to reference R.J. Milliken, J. Silva-Martínez, E. Sánchez-Sinencio, Full On-Chip CMOS Low-Dropout Voltage Regulator. IEEE Trans. Circuits Syst. I. Reg. Pap. 54(9), 1879 (2007) R.J. Milliken, J. Silva-Martínez, E. Sánchez-Sinencio, Full On-Chip CMOS Low-Dropout Voltage Regulator. IEEE Trans. Circuits Syst. I. Reg. Pap. 54(9), 1879 (2007)
22.
go back to reference C. Chen, J. Wu, Z. Wang, 150 mA LDO with self-adjusting frequency compensation scheme, Electron. Lett. 47(13), 767–768 (2011) C. Chen, J. Wu, Z. Wang, 150 mA LDO with self-adjusting frequency compensation scheme, Electron. Lett. 47(13), 767–768 (2011)
23.
go back to reference A. Patel, G. Rincon-Mora, High Power-Supply-Rejection (PSR) Current-Mode Low-Dropout (LDO) Regulator. IEEE Trans. Circuits Syst. II Exp. Briefs. 57(11), 868–873 (2010) A. Patel, G. Rincon-Mora, High Power-Supply-Rejection (PSR) Current-Mode Low-Dropout (LDO) Regulator. IEEE Trans. Circuits Syst. II Exp. Briefs. 57(11), 868–873 (2010)
24.
go back to reference E.N.Y. Ho, P.K.T. Mok, A capacitor-less CMOS active feedback low-dropout regulator with slew-rate enhancement for portable on-chip application. IEEE Trans. Circuits Syst. II Exp. Briefs. 57(2), 80-84 (2010) E.N.Y. Ho, P.K.T. Mok, A capacitor-less CMOS active feedback low-dropout regulator with slew-rate enhancement for portable on-chip application. IEEE Trans. Circuits Syst. II Exp. Briefs. 57(2), 80-84 (2010)
25.
go back to reference K.N. Leung, P. Mok, A capacitor-free CMOS low-dropout regulator with damping-factor-control frequency compensation. IEEE J. Solid-State Circuits. 38(10), 1691–1702 (2003) K.N. Leung, P. Mok, A capacitor-free CMOS low-dropout regulator with damping-factor-control frequency compensation. IEEE J. Solid-State Circuits. 38(10), 1691–1702 (2003)
26.
go back to reference H. Lee, P. Mok, K.N. Leung, Design of low-power analog drivers based on slew-rate enhancement circuits for cmos low-dropout regulators. IEEE Trans. Circuits Syst. II Exp. Briefs. 52(9), 563–567 (2005) H. Lee, P. Mok, K.N. Leung, Design of low-power analog drivers based on slew-rate enhancement circuits for cmos low-dropout regulators. IEEE Trans. Circuits Syst. II Exp. Briefs. 52(9), 563–567 (2005)
27.
go back to reference J.R. Huang, C.H. Wang, C.J. Lee, K.L. Tseng, D. Chen, Native AVP control method for constant output impedance of DC power converters, in Proceedings of IEEE Power Electronics Specialists Conference, (2007), pp. 2023–2028 J.R. Huang, C.H. Wang, C.J. Lee, K.L. Tseng, D. Chen, Native AVP control method for constant output impedance of DC power converters, in Proceedings of IEEE Power Electronics Specialists Conference, (2007), pp. 2023–2028
28.
go back to reference A. Waizman, C.Y. Chung, Resonant free power network design using extended adaptive voltage positioning (EAVP) methodology. IEEE Trans. Adv. Packag. 24, 236–244 (2001) A. Waizman, C.Y. Chung, Resonant free power network design using extended adaptive voltage positioning (EAVP) methodology. IEEE Trans. Adv. Packag. 24, 236–244 (2001)
29.
go back to reference M. Lee, D. Chen, K. Huang, E. Tseng, B. Tai, Compensator design for adaptive voltage position (AVP) for multiphase VRMs, in Proceedings of IEEE Power Electronics Specialists Conference, (2006) M. Lee, D. Chen, K. Huang, E. Tseng, B. Tai, Compensator design for adaptive voltage position (AVP) for multiphase VRMs, in Proceedings of IEEE Power Electronics Specialists Conference, (2006)
30.
go back to reference K. Yao, Y. Meng, P. Xu, F.C. Lee, Design considerations for VRM transient response based on the output impedance, in Proceedings of IEEE Applied Power Electronics Conference and Exposition conference, (2002), pp. 14–20 K. Yao, Y. Meng, P. Xu, F.C. Lee, Design considerations for VRM transient response based on the output impedance, in Proceedings of IEEE Applied Power Electronics Conference and Exposition conference, (2002), pp. 14–20
31.
go back to reference P.L. Wong, Performance improvements of multi-channel interleaving voltage regulator modules with integrated coupling inductors, Dissertation, Virginia Polytechnic Institute and State University, 2001 P.L. Wong, Performance improvements of multi-channel interleaving voltage regulator modules with integrated coupling inductors, Dissertation, Virginia Polytechnic Institute and State University, 2001
32.
go back to reference S.K. Mishra, Design-oriented analysis of modern active droop controlled power supplies. IEEE Trans. Ind. Electron. 56(9), 3704–3708 (2009) S.K. Mishra, Design-oriented analysis of modern active droop controlled power supplies. IEEE Trans. Ind. Electron. 56(9), 3704–3708 (2009)
33.
go back to reference J.A.A. Qahouq, V. Arikatla, Power converter with digital sensorless adaptive voltage positioning control scheme. IEEE Trans. Ind. Electron. 58(9), 4105–4116 (2010) J.A.A. Qahouq, V. Arikatla, Power converter with digital sensorless adaptive voltage positioning control scheme. IEEE Trans. Ind. Electron. 58(9), 4105–4116 (2010)
34.
go back to reference W.W. Chen, J.F. Chen, T.J. Liang, Dynamic Ramp control in current-mode adaptive on-time control for Buck converter on chip, in Proceedings of IEEE Future Energy Electronics Conference and ECCE Asia (IFEEC 2017 - ECCE Asia), (2017), pp. 280–285 W.W. Chen, J.F. Chen, T.J. Liang, Dynamic Ramp control in current-mode adaptive on-time control for Buck converter on chip, in Proceedings of IEEE Future Energy Electronics Conference and ECCE Asia (IFEEC 2017 - ECCE Asia), (2017), pp. 280–285
35.
go back to reference W.W. Chen, J.F. Chen, T.J. Liang, J.R. Huang, W.Y. Ting, Improved transient response using HFFC in current-mode CFCOT control for buck converter, in Proceedings IEEE International Conference on Power Electronics and Drive Systems (PEDS), (2013), pp. 546–549 W.W. Chen, J.F. Chen, T.J. Liang, J.R. Huang, W.Y. Ting, Improved transient response using HFFC in current-mode CFCOT control for buck converter, in Proceedings IEEE International Conference on Power Electronics and Drive Systems (PEDS), (2013), pp. 546–549
36.
go back to reference W.W. Chen, J.F. Chen, T.J. Liang, J.R. Huang, L.C. Wei, W.Y. Ting, Implementing dynamic quick response with high-frequency feedback control of the deformable constant on-time control for Buck converter on-chip. IET Power Electron. 6(4), 383–391 (2013) W.W. Chen, J.F. Chen, T.J. Liang, J.R. Huang, L.C. Wei, W.Y. Ting, Implementing dynamic quick response with high-frequency feedback control of the deformable constant on-time control for Buck converter on-chip. IET Power Electron. 6(4), 383–391 (2013)
37.
go back to reference Richtek Tech. Corp., Analysis of Buck Converter Efficiency, Application Note, (2014) Richtek Tech. Corp., Analysis of Buck Converter Efficiency, Application Note, (2014)
38.
go back to reference J. Gallaghe, Coupled inductors improve multiphase buck efficiency. Power Electron. Technol. (2006) J. Gallaghe, Coupled inductors improve multiphase buck efficiency. Power Electron. Technol. (2006)
39.
go back to reference Fairchild Semiconductor Inc., AN-6005 Synchronous buck MOSFET loss calculations with Excel model. Application Note, 2014 Fairchild Semiconductor Inc., AN-6005 Synchronous buck MOSFET loss calculations with Excel model. Application Note, 2014
40.
go back to reference K. Yao, Y. Meng, F.C. Lee, A novel winding coupled-buck converter for high-frequency high step-down DC/DC conversion, in Proceedings IEEE Power Electronics Specialists Conference, (2002) K. Yao, Y. Meng, F.C. Lee, A novel winding coupled-buck converter for high-frequency high step-down DC/DC conversion, in Proceedings IEEE Power Electronics Specialists Conference, (2002)
41.
go back to reference H. Krishnamurthy, V. Vaidya, P. Kumar, G. Matthew, S. Weng, B. Thiruvengadam, W. Proefrock, K. Ravichandran, V. De, A 500 MHz 68% efficient fully on-die digitally controlled buck voltage regulator on 22 nm tri-gate CMOS, in Symposium VLSI Circuits Digest Technical Papers, (2014), pp. 210–211 H. Krishnamurthy, V. Vaidya, P. Kumar, G. Matthew, S. Weng, B. Thiruvengadam, W. Proefrock, K. Ravichandran, V. De, A 500 MHz 68% efficient fully on-die digitally controlled buck voltage regulator on 22 nm tri-gate CMOS, in Symposium VLSI Circuits Digest Technical Papers, (2014), pp. 210–211
42.
go back to reference S.J. Kim, Q. Khan, M. Talegaonkar, A. Elshazly, A. Rao, N. Griesert, G. Winter, W. McIntyre, P. Hanumolu, High frequency buck converter design using time-based control techniques. IEEE J. Solid-State Circuits. 50(4), 990–1001 (2015) S.J. Kim, Q. Khan, M. Talegaonkar, A. Elshazly, A. Rao, N. Griesert, G. Winter, W. McIntyre, P. Hanumolu, High frequency buck converter design using time-based control techniques. IEEE J. Solid-State Circuits. 50(4), 990–1001 (2015)
43.
go back to reference W.R. Liou, M.L. Yeh, Y.L. Kuo, A high efficiency dual-mode buck converter IC for portable applications, IEEE Trans. Power Electron. 23(2), 667–677 (2008) W.R. Liou, M.L. Yeh, Y.L. Kuo, A high efficiency dual-mode buck converter IC for portable applications, IEEE Trans. Power Electron. 23(2), 667–677 (2008)
44.
go back to reference Texas Instruments Inc., MOSFET power losses and how they affect power-supply efficiency, Application Report, 2016 Texas Instruments Inc., MOSFET power losses and how they affect power-supply efficiency, Application Report, 2016
45.
go back to reference Texas Instruments Inc., Calculating Efficiency, Application Report, 2010 Texas Instruments Inc., Calculating Efficiency, Application Report, 2010
46.
go back to reference Texas Instruments Inc., Optimizing MOSFET characteristics by adjusting Gate Drive Amplitude, Application Report, 2005 Texas Instruments Inc., Optimizing MOSFET characteristics by adjusting Gate Drive Amplitude, Application Report, 2005
47.
go back to reference A.V. Petershevs, S.R. Sanders, Digital multimode buck converter control with loss-minimizing synchronous rectifier adaptation. IEEE Trans. Power Electron. 21(6), 1588–1599 (2006) A.V. Petershevs, S.R. Sanders, Digital multimode buck converter control with loss-minimizing synchronous rectifier adaptation. IEEE Trans. Power Electron. 21(6), 1588–1599 (2006)
48.
go back to reference S. Angkititrakul, H. Hu, Design and analysis of buck converter with pulse-skipping modulation, in Proceedings of IEEE Power Electronics Specialists Conference, (2008), 1151–1156 S. Angkititrakul, H. Hu, Design and analysis of buck converter with pulse-skipping modulation, in Proceedings of IEEE Power Electronics Specialists Conference, (2008), 1151–1156
49.
go back to reference X. Zhou, M. Donati, L. Amoroso, F.C. Lee, Improved light-load efficiency for synchronous rectifier voltage regulator module. IEEE Trans. Power Electron. 15(5), pp. 826–834 (2000) X. Zhou, M. Donati, L. Amoroso, F.C. Lee, Improved light-load efficiency for synchronous rectifier voltage regulator module. IEEE Trans. Power Electron. 15(5), pp. 826–834 (2000)
50.
go back to reference C.L. Chen, W.L. Hsieh, W.J. Lai, K.H. Chen, C.S. Wang, A new PWM/PFM control technique for improving efficiency over wide load range, in Proceedings of IEEE International Conference on Electronics, Circuits and Systems, (2008), pp. 962–965 C.L. Chen, W.L. Hsieh, W.J. Lai, K.H. Chen, C.S. Wang, A new PWM/PFM control technique for improving efficiency over wide load range, in Proceedings of IEEE International Conference on Electronics, Circuits and Systems, (2008), pp. 962–965
51.
go back to reference S. Kapat, S. Banerjee, A. Patra, Discontinuous map analysis of a DC-DC converter governed by pulse skipping modulation. IEEE Trans. Circuits System. Part I. 57(7), 1793–1801 (2010) S. Kapat, S. Banerjee, A. Patra, Discontinuous map analysis of a DC-DC converter governed by pulse skipping modulation. IEEE Trans. Circuits System. Part I. 57(7), 1793–1801 (2010)
Metadata
Title
Introduction
Authors
Wen-Wei Chen
Jiann-Fuh Chen
Copyright Year
2018
Publisher
Springer Singapore
DOI
https://doi.org/10.1007/978-981-10-7004-4_1