Skip to main content
Top
Published in:
Cover of the book

2018 | OriginalPaper | Chapter

1. Introduction

Authors : Anup Kumar Das, Akash Kumar, Bharadwaj Veeravalli, Francky Catthoor

Published in: Reliable and Energy Efficient Streaming Multiprocessor Systems

Publisher: Springer International Publishing

Activate our intelligent search to find suitable subject content or patents.

search-config
loading …

Abstract

Multiprocessor systems have evolved over the past decades, triggered by innovations in transistor scaling and integration, multiprocessor design and system integration. This section summarizes these trends.

Dont have a licence yet? Then find out more about our products and how to get one now:

Springer Professional "Wirtschaft+Technik"

Online-Abonnement

Mit Springer Professional "Wirtschaft+Technik" erhalten Sie Zugriff auf:

  • über 102.000 Bücher
  • über 537 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Maschinenbau + Werkstoffe
  • Versicherung + Risiko

Jetzt Wissensvorsprung sichern!

Springer Professional "Technik"

Online-Abonnement

Mit Springer Professional "Technik" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 390 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Maschinenbau + Werkstoffe




 

Jetzt Wissensvorsprung sichern!

Springer Professional "Wirtschaft"

Online-Abonnement

Mit Springer Professional "Wirtschaft" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 340 Zeitschriften

aus folgenden Fachgebieten:

  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Versicherung + Risiko




Jetzt Wissensvorsprung sichern!

Footnotes
1
Transient faults in the look-up table of FPGA manifest as permanent faults until reprogrammed.
 
2
The state-of-the-art thermal models are too computation intensive to be included in the design space exploration process.
 
Literature
1.
go back to reference B. Ackland, A. Anesko, D. Brinthaupt, S. Daubert, A. Kalavade, J. Knobloch, E. Micca, M. Moturi, C. Nicol, J. O’Neill, J. Othmer, E. Sackinger, K. Singh, J. Sweet, C. Terman, J. Williams, A single-chip, 1.6-billion, 16-b MAC/s multiprocessor DSP. IEEE J. Solid State Circuits 35(3), 412–424 (2000) B. Ackland, A. Anesko, D. Brinthaupt, S. Daubert, A. Kalavade, J. Knobloch, E. Micca, M. Moturi, C. Nicol, J. O’Neill, J. Othmer, E. Sackinger, K. Singh, J. Sweet, C. Terman, J. Williams, A single-chip, 1.6-billion, 16-b MAC/s multiprocessor DSP. IEEE J. Solid State Circuits 35(3), 412–424 (2000)
2.
go back to reference F. Ahmed, M. Sabry, D. Atienza, L. Milor, Wearout-aware compiler-directed register assignment for embedded systems, in Proceedings of the International Symposium on Quality Electronic Design (ISQED) (2012), pp. 33–40 F. Ahmed, M. Sabry, D. Atienza, L. Milor, Wearout-aware compiler-directed register assignment for embedded systems, in Proceedings of the International Symposium on Quality Electronic Design (ISQED) (2012), pp. 33–40
3.
go back to reference A. Artieri, V. Alto, R. Chesson, M. Hopkins, M. Rossi, Nomadik open multimedia platform for next-generation mobile devices, in STMicroelectronics Technical Article TA305 (2003) A. Artieri, V. Alto, R. Chesson, M. Hopkins, M. Rossi, Nomadik open multimedia platform for next-generation mobile devices, in STMicroelectronics Technical Article TA305 (2003)
4.
go back to reference L. Benini, G. De Micheli, Networks on chips: a new SoC paradigm. IEEE Comput. 35(1), 70–78 (2002) L. Benini, G. De Micheli, Networks on chips: a new SoC paradigm. IEEE Comput. 35(1), 70–78 (2002)
5.
go back to reference C. Bolchini, A. Miele, Reliability-driven system-level synthesis for mixed-critical embedded systems. IEEE Trans. Comput. 62(12), 2489–2502 (2013) C. Bolchini, A. Miele, Reliability-driven system-level synthesis for mixed-critical embedded systems. IEEE Trans. Comput. 62(12), 2489–2502 (2013)
6.
go back to reference L. Chen, T. Mitra, Shared reconfigurable fabric for multi-core customization, in Proceeding of the Annual Design Automation Conference (DAC) (ACM, 2011), pp. 830–835 L. Chen, T. Mitra, Shared reconfigurable fabric for multi-core customization, in Proceeding of the Annual Design Automation Conference (DAC) (ACM, 2011), pp. 830–835
8.
go back to reference P. Cumming, The TI OMAP platform approach to SoC, in Winning the SOC Revolution (2003) P. Cumming, The TI OMAP platform approach to SoC, in Winning the SOC Revolution (2003)
9.
go back to reference A. Das, A. Kumar, Fault-aware task re-mapping for throughput constrained multimedia applications on NoC-based MPSoCs, in Proceedings of the International Symposium on Rapid System Prototyping (RSP) (IEEE, 2012), pp. 149–155 A. Das, A. Kumar, Fault-aware task re-mapping for throughput constrained multimedia applications on NoC-based MPSoCs, in Proceedings of the International Symposium on Rapid System Prototyping (RSP) (IEEE, 2012), pp. 149–155
10.
go back to reference A. Das, A. Kumar, B. Veeravalli, Energy-aware communication and remapping of tasks for reliable multimedia multiprocessor systems, in Proceedings of the International Conference on Parallel and Distributed Systems (ICPADS) (IEEE Computer Society, 2012), pp. 564–571 A. Das, A. Kumar, B. Veeravalli, Energy-aware communication and remapping of tasks for reliable multimedia multiprocessor systems, in Proceedings of the International Conference on Parallel and Distributed Systems (ICPADS) (IEEE Computer Society, 2012), pp. 564–571
11.
go back to reference A. Das, A. Kumar, B. Veeravalli, Reliability-driven task mapping for lifetime extension of networks-on-chip based multiprocessor systems, in Proceedings of the Conference on Design, Automation and Test in Europe (DATE) (European Design and Automation Association, 2013), pp. 689–694 A. Das, A. Kumar, B. Veeravalli, Reliability-driven task mapping for lifetime extension of networks-on-chip based multiprocessor systems, in Proceedings of the Conference on Design, Automation and Test in Europe (DATE) (European Design and Automation Association, 2013), pp. 689–694
12.
go back to reference A. Das, A. Kumar, B. Veeravalli, Aging-aware hardware-software task partitioning for reliable reconfigurable multiprocessor systems, in Proceedings of the International Conference on Compilers, Architecturesand Synthesis for Embedded Systems (CASES) (IEEE Press, 2013), pp. 1:1–1:10 A. Das, A. Kumar, B. Veeravalli, Aging-aware hardware-software task partitioning for reliable reconfigurable multiprocessor systems, in Proceedings of the International Conference on Compilers, Architecturesand Synthesis for Embedded Systems (CASES) (IEEE Press, 2013), pp. 1:1–1:10
13.
go back to reference A. Das, A. Kumar, B. Veeravalli, Communication and migration energy aware design space exploration for multicore systems with intermittent faults, in Proceedings of the Conference on Design, Automation and Test in Europe (DATE) (European Design and Automation Association, 2013), pp. 1631–1636 A. Das, A. Kumar, B. Veeravalli, Communication and migration energy aware design space exploration for multicore systems with intermittent faults, in Proceedings of the Conference on Design, Automation and Test in Europe (DATE) (European Design and Automation Association, 2013), pp. 1631–1636
14.
go back to reference A. Das, A. Kumar, B. Veeravalli, Communication and migration energy aware task mapping for reliable multiprocessor systems. Elsevier Futur. Gener. Comput. Syst. 30, 216–228 (2014) A. Das, A. Kumar, B. Veeravalli, Communication and migration energy aware task mapping for reliable multiprocessor systems. Elsevier Futur. Gener. Comput. Syst. 30, 216–228 (2014)
15.
go back to reference A. Das, A. Kumar, B. Veeravalli, Energy-aware task mapping and scheduling for reliable embedded computing systems. ACM Trans. Embed. Comput. Syst. (TECS) 13(2s), 72:1–72:27 (2014) A. Das, A. Kumar, B. Veeravalli, Energy-aware task mapping and scheduling for reliable embedded computing systems. ACM Trans. Embed. Comput. Syst. (TECS) 13(2s), 72:1–72:27 (2014)
16.
go back to reference A. Das, R.A. Shafik, G.V. Merrett, B.M. Al-Hashimi, A. Kumar, B. Veeravalli, Reinforcement learning-based inter- and intra-application thermal optimization for lifetime improvement of multicore systems, in Proceeding of the Annual Design Automation Conference (DAC) (ACM, 2014) A. Das, R.A. Shafik, G.V. Merrett, B.M. Al-Hashimi, A. Kumar, B. Veeravalli, Reinforcement learning-based inter- and intra-application thermal optimization for lifetime improvement of multicore systems, in Proceeding of the Annual Design Automation Conference (DAC) (ACM, 2014)
17.
go back to reference A. Das, A. Kumar, B. Veeravalli, Temperature aware energy-reliability trade-offs for mapping of throughput-constrained applications on multimedia MPSoCs, in Proceedings of the Conference on Design, Automation and Test in Europe (DATE) (European Design and Automation Association, 2014) A. Das, A. Kumar, B. Veeravalli, Temperature aware energy-reliability trade-offs for mapping of throughput-constrained applications on multimedia MPSoCs, in Proceedings of the Conference on Design, Automation and Test in Europe (DATE) (European Design and Automation Association, 2014)
18.
go back to reference A. Das, A. Kumar, B. Veeravalli, Reliability and energy-aware mapping and scheduling of multimedia applications on multiprocessor systems. IEEE Trans. Parallel Distrib. Syst. 27(3), 869–884 (2016) A. Das, A. Kumar, B. Veeravalli, Reliability and energy-aware mapping and scheduling of multimedia applications on multiprocessor systems. IEEE Trans. Parallel Distrib. Syst. 27(3), 869–884 (2016)
19.
go back to reference M. Damavandpeyma, S. Stuijk, T. Basten, M. Geilen, H. Corporaal, Throughput-constrained DVFS for scenario-aware dataflow graphs, in Proceedings of the IEEE Symposium on Real-Time and Embedded Technology and Applications (RTAS) (2013), pp. 175–184 M. Damavandpeyma, S. Stuijk, T. Basten, M. Geilen, H. Corporaal, Throughput-constrained DVFS for scenario-aware dataflow graphs, in Proceedings of the IEEE Symposium on Real-Time and Embedded Technology and Applications (RTAS) (2013), pp. 175–184
20.
go back to reference J. De Oliveira, H. Van Antwerpen, The Philips Nexperia digital video platform, in Winning the SoC Revolution (2003), pp. 67–96 J. De Oliveira, H. Van Antwerpen, The Philips Nexperia digital video platform, in Winning the SoC Revolution (2003), pp. 67–96
21.
go back to reference R. Dennard, F. Gaensslen, V. Rideout, E. Bassous, A. LeBlanc, Design of ion-implanted MOSFET’s with very small physical dimensions. IEEE J. Solid State Circuits 9(5), 256–268 (1974) R. Dennard, F. Gaensslen, V. Rideout, E. Bassous, A. LeBlanc, Design of ion-implanted MOSFET’s with very small physical dimensions. IEEE J. Solid State Circuits 9(5), 256–268 (1974)
22.
go back to reference M. Fojtik, D. Fick, Y. Kim, N. Pinckney, D. Harris, D. Blaauw, D. Sylvester, Bubble razor: eliminating timing margins in an ARM Cortex-M3 processor in 45 nm CMOS using architecturally independent error detection and correction. IEEE J. Solid State Circuits 48(1), 66–81 (2013) M. Fojtik, D. Fick, Y. Kim, N. Pinckney, D. Harris, D. Blaauw, D. Sylvester, Bubble razor: eliminating timing margins in an ARM Cortex-M3 processor in 45 nm CMOS using architecturally independent error detection and correction. IEEE J. Solid State Circuits 48(1), 66–81 (2013)
23.
go back to reference T. Ghani, M. Armstrong, C. Auth, M. Bost, P. Charvat, G. Glass, T. Hoffmann, K. Johnson, C. Kenyon, J. Klaus, B. McIntyre, K. Mistry, A. Murthy, J. Sandford, M. Silberstein, S. Sivakumar, P. Smith, K. Zawadzki, S. Thompson, M. Bohr, A 90nm high volume manufacturing logic technology featuring novel 45nm gate length strained silicon CMOS transistors, in IEEE International Electron Devices Meeting (IEDM) (2003), pp. 11.6.1–11.6.3 T. Ghani, M. Armstrong, C. Auth, M. Bost, P. Charvat, G. Glass, T. Hoffmann, K. Johnson, C. Kenyon, J. Klaus, B. McIntyre, K. Mistry, A. Murthy, J. Sandford, M. Silberstein, S. Sivakumar, P. Smith, K. Zawadzki, S. Thompson, M. Bohr, A 90nm high volume manufacturing logic technology featuring novel 45nm gate length strained silicon CMOS transistors, in IEEE International Electron Devices Meeting (IEDM) (2003), pp. 11.6.1–11.6.3
24.
go back to reference K. Goossens, J. Dielissen, A. Radulescu, Æthereal network on chip: concepts, architectures, and implementations. IEEE Des. Test Comput. 22(5), 414–421 (2005) K. Goossens, J. Dielissen, A. Radulescu, Æthereal network on chip: concepts, architectures, and implementations. IEEE Des. Test Comput. 22(5), 414–421 (2005)
26.
go back to reference S. Gupta, V. Moroz, L. Smith, Q. Lu, K. Saraswat, A group IV solution for 7 nm FinFET CMOS: stress engineering using Si, Ge and Sn, in IEEE International Electron Devices Meeting (IEDM) (2013), pp. 26.3.1–26.3.4 S. Gupta, V. Moroz, L. Smith, Q. Lu, K. Saraswat, A group IV solution for 7 nm FinFET CMOS: stress engineering using Si, Ge and Sn, in IEEE International Electron Devices Meeting (IEDM) (2013), pp. 26.3.1–26.3.4
27.
go back to reference J. Hu, R. Marculescu, Energy-aware communication and task scheduling for network-on-chip architectures under real-time constraints, in Proceedings of the Conference on Design, Automation and Test in Europe(DATE) (IEEE Computer Society, 2004), p. 10234 J. Hu, R. Marculescu, Energy-aware communication and task scheduling for network-on-chip architectures under real-time constraints, in Proceedings of the Conference on Design, Automation and Test in Europe(DATE) (IEEE Computer Society, 2004), p. 10234
28.
go back to reference L. Huang, F. Yuan, Q. Xu, Lifetime reliability-aware task allocation and scheduling for MPSoC platforms, in Proceedings of the Conference on Design, Automation and Test in Europe (DATE) (European Design and Automation Association, 2009), pp. 51–56 L. Huang, F. Yuan, Q. Xu, Lifetime reliability-aware task allocation and scheduling for MPSoC platforms, in Proceedings of the Conference on Design, Automation and Test in Europe (DATE) (European Design and Automation Association, 2009), pp. 51–56
29.
go back to reference V. Huard, C. Parthasarathy, A. Bravaix, C. Guerin, E. Pion, CMOS device design-in reliability approach in advanced nodes, in IEEE International Reliability Physics Symposium (2009), pp. 624–633 V. Huard, C. Parthasarathy, A. Bravaix, C. Guerin, E. Pion, CMOS device design-in reliability approach in advanced nodes, in IEEE International Reliability Physics Symposium (2009), pp. 624–633
31.
go back to reference L. Jiashu, A. Das, A. Kumar, A design flow for partially reconfigurable heterogeneous multi-processor platforms, in Proceedings of the International Symposium on Rapid System Prototyping (RSP) (IEEE, 2012), pp. 170–176 L. Jiashu, A. Das, A. Kumar, A design flow for partially reconfigurable heterogeneous multi-processor platforms, in Proceedings of the International Symposium on Rapid System Prototyping (RSP) (IEEE, 2012), pp. 170–176
32.
go back to reference E. Karl, Y. Wang, Y.-G. Ng, Z. Guo, F. Hamzaoglu, U. Bhattacharya, K. Zhang, K. Mistry, M. Bohr, A 4.6GHz 162Mb SRAM design in 22nm tri-gate CMOS technology with integrated active VMIN-enhancing assist circuitry, in Proceedings of the IEEE International Solid-State Circuits Conference Digest of Technical Papers (ISSCC) (2012), pp. 230–232 E. Karl, Y. Wang, Y.-G. Ng, Z. Guo, F. Hamzaoglu, U. Bhattacharya, K. Zhang, K. Mistry, M. Bohr, A 4.6GHz 162Mb SRAM design in 22nm tri-gate CMOS technology with integrated active VMIN-enhancing assist circuitry, in Proceedings of the IEEE International Solid-State Circuits Conference Digest of Technical Papers (ISSCC) (2012), pp. 230–232
33.
go back to reference K. Keutzer, A. Newton, J. Rabaey, A. Sangiovanni-Vincentelli, System-level design: orthogonalization of concerns and platform-based design. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. (TCAD) 19(12), 1523–1543 (2000) K. Keutzer, A. Newton, J. Rabaey, A. Sangiovanni-Vincentelli, System-level design: orthogonalization of concerns and platform-based design. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. (TCAD) 19(12), 1523–1543 (2000)
34.
go back to reference R. Kumar, D.M. Tullsen, P. Ranganathan, N.P. Jouppi, K.I. Farkas, Single-ISA heterogeneous multi-core architectures for multithreaded workload performance, in Proceedings of the Annual International Symposium on Computer Architecture (ISCA) (IEEE Computer Society, 2004), pp. 64–75 R. Kumar, D.M. Tullsen, P. Ranganathan, N.P. Jouppi, K.I. Farkas, Single-ISA heterogeneous multi-core architectures for multithreaded workload performance, in Proceedings of the Annual International Symposium on Computer Architecture (ISCA) (IEEE Computer Society, 2004), pp. 64–75
35.
go back to reference A. Kumar, B. Mesman, H. Corporaal, Y. Ha, Iterative probabilistic performance prediction for multi-application multiprocessor systems. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. (TCAD) 29(4), 538–551 (2010) A. Kumar, B. Mesman, H. Corporaal, Y. Ha, Iterative probabilistic performance prediction for multi-application multiprocessor systems. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. (TCAD) 29(4), 538–551 (2010)
36.
go back to reference S. Kumar, C. Kim, S. Sapatnekar, Adaptive techniques for overcoming performance degradation due to aging in CMOS circuits. IEEE Trans. Very Large Scale Integr. Syst. (TVLSI) 19(4), 603–614 (2011) S. Kumar, C. Kim, S. Sapatnekar, Adaptive techniques for overcoming performance degradation due to aging in CMOS circuits. IEEE Trans. Very Large Scale Integr. Syst. (TVLSI) 19(4), 603–614 (2011)
37.
go back to reference Y. Leblebici, Design considerations for CMOS digital circuits with improved hot-carrier reliability. IEEE J. Solid State Circuits 31(7), 1014–1024 (1996)CrossRef Y. Leblebici, Design considerations for CMOS digital circuits with improved hot-carrier reliability. IEEE J. Solid State Circuits 31(7), 1014–1024 (1996)CrossRef
38.
go back to reference E. Lee, D. Messerschmitt, Synchronous data flow. Proc. IEEE 75(9), 1235–1245 (1987)CrossRef E. Lee, D. Messerschmitt, Synchronous data flow. Proc. IEEE 75(9), 1235–1245 (1987)CrossRef
39.
go back to reference F. Moraes, N. Calazans, A. Mello, L. Möller, L. Ost, HERMES: an infrastructure for low area overhead packet-switching networks on chip. Integr. VLSI J. 38(1), 69–93 (2004)CrossRef F. Moraes, N. Calazans, A. Mello, L. Möller, L. Ost, HERMES: an infrastructure for low area overhead packet-switching networks on chip. Integr. VLSI J. 38(1), 69–93 (2004)CrossRef
40.
go back to reference J. Mottin, M. Cartron, G. Urlini, The STHORM platform, in Embedded Systems, ed. by M. Torquati, K. Bertels, S. Karlsson, F. Pacull (Springer, New York, 2014), pp. 35–43 J. Mottin, M. Cartron, G. Urlini, The STHORM platform, in Embedded Systems, ed. by M. Torquati, K. Bertels, S. Karlsson, F. Pacull (Springer, New York, 2014), pp. 35–43
41.
go back to reference Y. Nishimichi, N. Higaki, M. Osaka, S. Horii, H. Yoshida, UniPhier: series development and SoC management, in Proceedings of the Asia and South Pacific Design Automation Conference (ASP-DAC), ser. ASP-DAC ’09 (IEEE Press, 2009), pp. 540–545 Y. Nishimichi, N. Higaki, M. Osaka, S. Horii, H. Yoshida, UniPhier: series development and SoC management, in Proceedings of the Asia and South Pacific Design Automation Conference (ASP-DAC), ser. ASP-DAC ’09 (IEEE Press, 2009), pp. 540–545
42.
go back to reference F. Oboril, F. Firouzi, S. Kiamehr, M. Tahoori, Reducing NBTI-induced processor wearout by exploiting the timing slack of instructions, in Proceedings of the Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS), ser. CODES+ISSS ’12 (ACM, 2012), pp. 443–452 F. Oboril, F. Firouzi, S. Kiamehr, M. Tahoori, Reducing NBTI-induced processor wearout by exploiting the timing slack of instructions, in Proceedings of the Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS), ser. CODES+ISSS ’12 (ACM, 2012), pp. 443–452
43.
go back to reference P. Packan, S. Akbar, M. Armstrong, D. Bergstrom, M. Brazier, H. Deshpande, K. Dev, G. Ding, T. Ghani, O. Golonzka, W. Han, J. He, R. Heussner, R. James, J. Jopling, C. Kenyon, S.-H. Lee, M. Liu, S. Lodha, B. Mattis, A. Murthy, L. Neiberg, J. Neirynck, S. Pae, C. Parker, L. Pipes, J. Sebastian, J. Seiple, B. Sell, A. Sharma, S. Sivakumar, B. Song, A. St.Amour, K. Tone, T. Troeger, C. Weber, K. Zhang, Y. Luo, S. Natarajan, High performance 32nm logic technology featuring 2nd generation high-k + metal gate transistors, in IEEE International Electron Devices Meeting (IEDM) (2009), pp. 1–4 P. Packan, S. Akbar, M. Armstrong, D. Bergstrom, M. Brazier, H. Deshpande, K. Dev, G. Ding, T. Ghani, O. Golonzka, W. Han, J. He, R. Heussner, R. James, J. Jopling, C. Kenyon, S.-H. Lee, M. Liu, S. Lodha, B. Mattis, A. Murthy, L. Neiberg, J. Neirynck, S. Pae, C. Parker, L. Pipes, J. Sebastian, J. Seiple, B. Sell, A. Sharma, S. Sivakumar, B. Song, A. St.Amour, K. Tone, T. Troeger, C. Weber, K. Zhang, Y. Luo, S. Natarajan, High performance 32nm logic technology featuring 2nd generation high-k + metal gate transistors, in IEEE International Electron Devices Meeting (IEDM) (2009), pp. 1–4
44.
go back to reference A. Pinto, A. Bonivento, A.L. Sangiovanni-Vincentelli, R. Passerone, M. Sgroi, System level design paradigms: platform-based design and communication synthesis, in Proceeding of the Annual Design Automation Conference (DAC) (ACM, 2004), pp. 537–563 A. Pinto, A. Bonivento, A.L. Sangiovanni-Vincentelli, R. Passerone, M. Sgroi, System level design paradigms: platform-based design and communication synthesis, in Proceeding of the Annual Design Automation Conference (DAC) (ACM, 2004), pp. 537–563
45.
go back to reference K. Popovici, X. Guerin, F. Rousseau, P.S. Paolucci, A.A. Jerraya, Platform-based software design flow for heterogeneous MPSoC. ACM Trans. Embed. Comput. Syst. (TECS) 7(4), 39:1–39:23 (2008) K. Popovici, X. Guerin, F. Rousseau, P.S. Paolucci, A.A. Jerraya, Platform-based software design flow for heterogeneous MPSoC. ACM Trans. Embed. Comput. Syst. (TECS) 7(4), 39:1–39:23 (2008)
46.
go back to reference M. Prvulovic, Z. Zhang, J. Torrellas, ReVive: cost-effective architectural support for rollback recovery in shared-memory multiprocessors, in Proceedings of the Annual International Symposium on Computer Architecture (ISCA) (IEEE Computer Society, 2002), pp. 111–122 M. Prvulovic, Z. Zhang, J. Torrellas, ReVive: cost-effective architectural support for rollback recovery in shared-memory multiprocessors, in Proceedings of the Annual International Symposium on Computer Architecture (ISCA) (IEEE Computer Society, 2002), pp. 111–122
47.
go back to reference S. Ramey, A. Ashutosh, C. Auth, J. Clifford, M. Hattendorf, J. Hicks, R. James, A. Rahman, V. Sharma, A. St.Amour, C. Wiegand, Intrinsic transistor reliability improvements from 22nm tri-gate technology, in IEEE International Reliability Physics Symposium (IRPS) (2013), pp. 4C.5.1–4C.5.5 S. Ramey, A. Ashutosh, C. Auth, J. Clifford, M. Hattendorf, J. Hicks, R. James, A. Rahman, V. Sharma, A. St.Amour, C. Wiegand, Intrinsic transistor reliability improvements from 22nm tri-gate technology, in IEEE International Reliability Physics Symposium (IRPS) (2013), pp. 4C.5.1–4C.5.5
48.
go back to reference J. Ryckaert, Scaling beyond 7nm: design-technology co-optimization at the rescue, in Proceedings of the 2016 on International Symposium on Physical Design (ACM, 2016), p. 89 J. Ryckaert, Scaling beyond 7nm: design-technology co-optimization at the rescue, in Proceedings of the 2016 on International Symposium on Physical Design (ACM, 2016), p. 89
50.
go back to reference M. Santarini, Zynq-7000 EPP sets stage for new era of innovations. Xcell J. 75, 8–13 (2011) M. Santarini, Zynq-7000 EPP sets stage for new era of innovations. Xcell J. 75, 8–13 (2011)
51.
go back to reference P.K. Saraswat, P. Pop, J. Madsen, Task mapping and bandwidth reservation for mixed hard/soft fault-tolerant embedded systems, in Proceedings of the IEEE Symposium on Real-Time and Embedded Technology and Applications (RTAS) (IEEE Computer Society, 2010), pp. 89–98 P.K. Saraswat, P. Pop, J. Madsen, Task mapping and bandwidth reservation for mixed hard/soft fault-tolerant embedded systems, in Proceedings of the IEEE Symposium on Real-Time and Embedded Technology and Applications (RTAS) (IEEE Computer Society, 2010), pp. 89–98
52.
go back to reference M.T. Schmitz, B.M. Al-Hashimi, P. Eles, Cosynthesis of energy-efficient multimode embedded systems with consideration of mode-execution probabilities. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. (TCAD) 24(2), 153–169 (2005) M.T. Schmitz, B.M. Al-Hashimi, P. Eles, Cosynthesis of energy-efficient multimode embedded systems with consideration of mode-execution probabilities. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. (TCAD) 24(2), 153–169 (2005)
54.
go back to reference A.K. Singh, T. Srikanthan, A. Kumar, W. Jigang, Communication-aware heuristics for run-time task mapping on noc-based mpsoc platforms. J. Syst. Archit. 56(7), 242–255 (2010)CrossRef A.K. Singh, T. Srikanthan, A. Kumar, W. Jigang, Communication-aware heuristics for run-time task mapping on noc-based mpsoc platforms. J. Syst. Archit. 56(7), 242–255 (2010)CrossRef
55.
go back to reference A.K. Singh, A. Das, A. Kumar, Energy optimization by exploiting execution slacks in streaming applications on multiprocessor systems, in Proceeding of the Annual Design Automation Conference (DAC) (ACM, 2013), pp. 115:1–115:7 A.K. Singh, A. Das, A. Kumar, Energy optimization by exploiting execution slacks in streaming applications on multiprocessor systems, in Proceeding of the Annual Design Automation Conference (DAC) (ACM, 2013), pp. 115:1–115:7
56.
go back to reference K. Skadron, M.R. Stan, K. Sankaranarayanan, W. Huang, S. Velusamy, D. Tarjan, Temperature-aware microarchitecture: modeling and implementation, ACM Trans. Archit. Code Optim. (TACO) 1(1), 94–125 (2004) K. Skadron, M.R. Stan, K. Sankaranarayanan, W. Huang, S. Velusamy, D. Tarjan, Temperature-aware microarchitecture: modeling and implementation, ACM Trans. Archit. Code Optim. (TACO) 1(1), 94–125 (2004)
57.
go back to reference P. Stravers, J. Hoogerbrugge, Homogeneous multiprocessing and the future of silicon design paradigms, in Proceedings of the International Symposium on VLSI Technology, Systems, and Applications (2001), pp. 184–187 P. Stravers, J. Hoogerbrugge, Homogeneous multiprocessing and the future of silicon design paradigms, in Proceedings of the International Symposium on VLSI Technology, Systems, and Applications (2001), pp. 184–187
59.
go back to reference M.A. Watkins, D.H. Albonesi, ReMAP: a reconfigurable heterogeneous multicore architecture, in Proceedings of the IEEE/ACM International Symposium on Microarchitecture (MICRO) (IEEE Computer Society, 2010), pp. 497–508 M.A. Watkins, D.H. Albonesi, ReMAP: a reconfigurable heterogeneous multicore architecture, in Proceedings of the IEEE/ACM International Symposium on Microarchitecture (MICRO) (IEEE Computer Society, 2010), pp. 497–508
60.
go back to reference W. Wolf, Hardware-software co-design of embedded systems. Proc. IEEE 82(7), 967–989 (1994)CrossRef W. Wolf, Hardware-software co-design of embedded systems. Proc. IEEE 82(7), 967–989 (1994)CrossRef
61.
go back to reference J. Teich, Hardware/software codesign: the past, the present, and predicting the future. Proc. IEEE, 100(Special Centennial Issue), 1411–1430 (2012) J. Teich, Hardware/software codesign: the past, the present, and predicting the future. Proc. IEEE, 100(Special Centennial Issue), 1411–1430 (2012)
62.
go back to reference A. Tiwari, J. Torrellas, Facelift: hiding and slowing down aging in multicores, in Proceedings of the IEEE/ACM International Symposium on Microarchitecture (MICRO) (IEEE Computer Society, 2008), pp. 129–140 A. Tiwari, J. Torrellas, Facelift: hiding and slowing down aging in multicores, in Proceedings of the IEEE/ACM International Symposium on Microarchitecture (MICRO) (IEEE Computer Society, 2008), pp. 129–140
63.
go back to reference R. Yung, S. Rusu, K. Shoemaker, Future trend of microprocessor design, in Proceedings of the European Solid-State Circuits Conference (ESSCIRC) (IEEE, 2002), pp. 43–46 R. Yung, S. Rusu, K. Shoemaker, Future trend of microprocessor design, in Proceedings of the European Solid-State Circuits Conference (ESSCIRC) (IEEE, 2002), pp. 43–46
Metadata
Title
Introduction
Authors
Anup Kumar Das
Akash Kumar
Bharadwaj Veeravalli
Francky Catthoor
Copyright Year
2018
DOI
https://doi.org/10.1007/978-3-319-69374-3_1