Skip to main content
Top

2013 | OriginalPaper | Chapter

6. NBTI and Its Effect on SRAM

Authors : Jawar Singh, Saraju P. Mohanty, Dhiraj K. Pradhan

Published in: Robust SRAM Designs and Analysis

Publisher: Springer New York

Activate our intelligent search to find suitable subject content or patents.

search-config
loading …

Abstract

In this chapter, modelling of Negative Bias Temperature Instability (NBTI), how it is incorporated with Static Random Access Memory (SRAM) and its impact on different SRAM cache configurations is presented. Investigations of how NBTI affects different power saving cache strategies employing the standard symmetric and asymmetric 6-transistor (6T) SRAM, and the isolated read-port 6T SRAM bitcells, are presented. It is observed that more than 38–66% of the recovery in stability parameters (SNM and WNM) under different power saving cache strategies have been achieved for different SRAM bitcells based cache configurations. It is also found that the low V TH transistors age faster than the high V TH transistors due to NBTI. Hence, NBTI effect is more pronounced in future technologies due to reduction in V TH with technology scaling. Also NBTI effect is more significant at higher temperature.

Dont have a licence yet? Then find out more about our products and how to get one now:

Springer Professional "Wirtschaft+Technik"

Online-Abonnement

Mit Springer Professional "Wirtschaft+Technik" erhalten Sie Zugriff auf:

  • über 102.000 Bücher
  • über 537 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Maschinenbau + Werkstoffe
  • Versicherung + Risiko

Jetzt Wissensvorsprung sichern!

Springer Professional "Technik"

Online-Abonnement

Mit Springer Professional "Technik" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 390 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Maschinenbau + Werkstoffe




 

Jetzt Wissensvorsprung sichern!

Literature
9.
go back to reference Ball, M., Rosal, J., McKee, R., Loh, W., Houston, T., Garcia, R., Raval, J., Li, D., Hollingsworth, R., Gury, R., Eklund, R., Vaccani, J., Castellano, B., Piacibello, F., Ashburn, S., Tsao, A., Krishnan, A., Ondrusek, J., Anderson, T.: A screening methodology for VMIN drift in SRAM arrays with application to sub-65 nm nodes. In: International Electron Devices Meeting, 2006. IEDM ’06, pp. 1–4 (2006). doi:10.1109/IEDM.2006.346883 Ball, M., Rosal, J., McKee, R., Loh, W., Houston, T., Garcia, R., Raval, J., Li, D., Hollingsworth, R., Gury, R., Eklund, R., Vaccani, J., Castellano, B., Piacibello, F., Ashburn, S., Tsao, A., Krishnan, A., Ondrusek, J., Anderson, T.: A screening methodology for VMIN drift in SRAM arrays with application to sub-65 nm nodes. In: International Electron Devices Meeting, 2006. IEDM ’06, pp. 1–4 (2006). doi:10.​1109/​IEDM.​2006.​346883
10.
go back to reference Bhavnagarwala, A.J., Tang, X., Meindl, J.D.: The impact of intrinsic device fluctuations on CMOS SRAM cell stability. IEEE J. Solid-State Circuit 36, 658–665 (2001)CrossRef Bhavnagarwala, A.J., Tang, X., Meindl, J.D.: The impact of intrinsic device fluctuations on CMOS SRAM cell stability. IEEE J. Solid-State Circuit 36, 658–665 (2001)CrossRef
25.
go back to reference Chang, L., Montoye, R., Nakamura, Y., Batson, K., Eickemeyer, R., Dennard, R., Haensch, W., Jamsek, D.: An 8T-SRAM for variability tolerance and low-voltage operation in high-performance caches. IEEE J. Solid-State Circuit 43(4), 956–963 (2008)CrossRef Chang, L., Montoye, R., Nakamura, Y., Batson, K., Eickemeyer, R., Dennard, R., Haensch, W., Jamsek, D.: An 8T-SRAM for variability tolerance and low-voltage operation in high-performance caches. IEEE J. Solid-State Circuit 43(4), 956–963 (2008)CrossRef
26.
go back to reference Chen, G., Shetty, R., Kandemir, M., Vijaykrishnan, N., Irwin, M., Wolczko, M.: Tuning garbage collection in an embedded java environment. In: Proceedings of the Eighth International Symposium on High-Performance Computer Architecture, 2002, Cambridge, pp. 92–103 (2002) Chen, G., Shetty, R., Kandemir, M., Vijaykrishnan, N., Irwin, M., Wolczko, M.: Tuning garbage collection in an embedded java environment. In: Proceedings of the Eighth International Symposium on High-Performance Computer Architecture, 2002, Cambridge, pp. 92–103 (2002)
27.
go back to reference Chen, G., Chuah, K., Li, M., Chan, D., Ang, C., Zheng, J., Jin, Y., Kwong, D.: Dynamic NBTI of PMOS transistors and its impact on device lifetime. In: IEEE International Reliability Physics Symposium Proceedings, 2003. 41st Annual, Dallas, pp. 196–202 (2003) Chen, G., Chuah, K., Li, M., Chan, D., Ang, C., Zheng, J., Jin, Y., Kwong, D.: Dynamic NBTI of PMOS transistors and its impact on device lifetime. In: IEEE International Reliability Physics Symposium Proceedings, 2003. 41st Annual, Dallas, pp. 196–202 (2003)
35.
50.
go back to reference Kang, K., Kufluoglu, H., Alain, M., Roy, K.: Efficient transistor-level sizing technique under temporal performance degradation due to NBTI. In: International Conference on Computer Design, 2006. ICCD 2006, pp. 216–221 (2006). doi:10.1109/ICCD.2006.4380820 Kang, K., Kufluoglu, H., Alain, M., Roy, K.: Efficient transistor-level sizing technique under temporal performance degradation due to NBTI. In: International Conference on Computer Design, 2006. ICCD 2006, pp. 216–221 (2006). doi:10.​1109/​ICCD.​2006.​4380820
54.
go back to reference Kaxiras, S., Hu, Z., Martonosi, M.: Cache decay: exploiting generational behavior to reduce cache leakage power. In: Proceedings of the 28th Annual International Symposium on Computer Architecture, 2001, pp. 240–251 (2001). doi:10.1109/ISCA.2001.937453 Kaxiras, S., Hu, Z., Martonosi, M.: Cache decay: exploiting generational behavior to reduce cache leakage power. In: Proceedings of the 28th Annual International Symposium on Computer Architecture, 2001, pp. 240–251 (2001). doi:10.​1109/​ISCA.​2001.​937453
60.
go back to reference Kimizuka, N., Yamamoto, T., Mogami, T., Yamaguchi, K., Imai, K., Horiuchi, T.: The impact of bias temperature instability for direct-tunneling ultra-thin gate oxide on mosfet scaling. In: Symposium on VLSI Technology, 1999. Digest of Technical Papers, pp. 73–74 (1999). doi:10.1109/VLSIT.1999.799346 Kimizuka, N., Yamamoto, T., Mogami, T., Yamaguchi, K., Imai, K., Horiuchi, T.: The impact of bias temperature instability for direct-tunneling ultra-thin gate oxide on mosfet scaling. In: Symposium on VLSI Technology, 1999. Digest of Technical Papers, pp. 73–74 (1999). doi:10.​1109/​VLSIT.​1999.​799346
62.
go back to reference Krishnan, A., Reddy, V., Chakravarthi, S., Rodriguez, J., John, S., Krishnan, S.: NBTI impact on transistor and circuit: models, mechanisms and scaling effects [MOSFETS]. In: IEEE International Electron Devices Meeting, 2003, IEDM ’03 Technical Digest, pp. 14.5.1–14.5.4 (2003). doi:10.1109/IEDM.2003.1269296 Krishnan, A., Reddy, V., Chakravarthi, S., Rodriguez, J., John, S., Krishnan, S.: NBTI impact on transistor and circuit: models, mechanisms and scaling effects [MOSFETS]. In: IEEE International Electron Devices Meeting, 2003, IEDM ’03 Technical Digest, pp. 14.5.1–14.5.4 (2003). doi:10.​1109/​IEDM.​2003.​1269296
64.
go back to reference Kumar, S., Kim, K., Sapatnekar, S.: Impact of nbti on SRAM read stability and design for reliability. In: 7th International Symposium on Quality Electronic Design, 2006. ISQED ’06, pp. 6–218 (2006). doi:10.1109/ISQED.2006.73 Kumar, S., Kim, K., Sapatnekar, S.: Impact of nbti on SRAM read stability and design for reliability. In: 7th International Symposium on Quality Electronic Design, 2006. ISQED ’06, pp. 6–218 (2006). doi:10.​1109/​ISQED.​2006.​73
65.
go back to reference La Rosa, G., Ng, W.L., Rauch, S., Wong, R., Sudijono, J.: Impact of nbti induced statistical variation to SRAM cell stability. In: IEEE International Reliability Physics Symposium Proceedings 2006, 44th Annual, pp. 274–282 (2006). doi:10.1109/RELPHY.2006.251228 La Rosa, G., Ng, W.L., Rauch, S., Wong, R., Sudijono, J.: Impact of nbti induced statistical variation to SRAM cell stability. In: IEEE International Reliability Physics Symposium Proceedings 2006, 44th Annual, pp. 274–282 (2006). doi:10.​1109/​RELPHY.​2006.​251228
69.
go back to reference Li, L., Kadayif, I., Tsai, Y.F., Vijaykrishnan, N., Kandemir, M., Irwin, M., Sivasubramaniam, A.: Leakage energy management in cache hierarchies. In: Proceedings of the International Conference on Parallel Architectures and Compilation Techniques, 2002, pp. 131–140 (2002). doi:10.1109/PACT.2002.1106012 CrossRef Li, L., Kadayif, I., Tsai, Y.F., Vijaykrishnan, N., Kandemir, M., Irwin, M., Sivasubramaniam, A.: Leakage energy management in cache hierarchies. In: Proceedings of the International Conference on Parallel Architectures and Compilation Techniques, 2002, pp. 131–140 (2002). doi:10.​1109/​PACT.​2002.​1106012 CrossRef
70.
go back to reference Li, L., Kadayif, I., Tsai, Y.F., Narayanan, V., Kandemir, M., Irwin, M.J., Sivasubramaniam, A.: Managing leakage energy in cache hierarchies. J. Instruction-Level Parallel. 5, 1–24 (2003)MATH Li, L., Kadayif, I., Tsai, Y.F., Narayanan, V., Kandemir, M., Irwin, M.J., Sivasubramaniam, A.: Managing leakage energy in cache hierarchies. J. Instruction-Level Parallel. 5, 1–24 (2003)MATH
71.
74.
go back to reference Mahapatra, S., Kumar, P., Alam, M.: Investigation and modeling of interface and bulk trap generation during negative bias temperature instability of p-MOSFETS. IEEE Trans. Electron Devices 51(9), 1371–1379 (2004). doi:10.1109/TED.2004.833592 CrossRef Mahapatra, S., Kumar, P., Alam, M.: Investigation and modeling of interface and bulk trap generation during negative bias temperature instability of p-MOSFETS. IEEE Trans. Electron Devices 51(9), 1371–1379 (2004). doi:10.​1109/​TED.​2004.​833592 CrossRef
82.
go back to reference Mukhopadhyay, S., Mahmoodi, H., Roy, K.: Modeling of failure probability and statistical design of SRAM array for yield enhancement in nanoscaled CMOS. IEEE Trans. Comput. Aided Des. Integr. Circuit Syst. 24(12), 1859–1880 (2005). doi:10.1109/TCAD.2005.852295 CrossRef Mukhopadhyay, S., Mahmoodi, H., Roy, K.: Modeling of failure probability and statistical design of SRAM array for yield enhancement in nanoscaled CMOS. IEEE Trans. Comput. Aided Des. Integr. Circuit Syst. 24(12), 1859–1880 (2005). doi:10.​1109/​TCAD.​2005.​852295 CrossRef
87.
90.
go back to reference Reddy, V., Krishnan, A., Marshall, A., Rodriguez, J., Natarajan, S., Rost, T., Krishnan, S.: Impact of negative bias temperature instability on digital circuit reliability. In: 40th Annual, Reliability Physics Symposium Proceedings, 2002, pp. 248–254 (2002). doi:10.1109/RELPHY.2002.996644 Reddy, V., Krishnan, A., Marshall, A., Rodriguez, J., Natarajan, S., Rost, T., Krishnan, S.: Impact of negative bias temperature instability on digital circuit reliability. In: 40th Annual, Reliability Physics Symposium Proceedings, 2002, pp. 248–254 (2002). doi:10.​1109/​RELPHY.​2002.​996644
95.
go back to reference Seevinck, E., List, F., Lohstroh, J.: Static-noise margin analysis of MOS SRAM cells. IEEE J. Solid-State Circuit 22(5), 748–754 (1987)CrossRef Seevinck, E., List, F., Lohstroh, J.: Static-noise margin analysis of MOS SRAM cells. IEEE J. Solid-State Circuit 22(5), 748–754 (1987)CrossRef
106.
go back to reference van der Meer, P., van Staveren, A., van Roermund, A.: Ultra-low standby-currents for deep sub-micron vlsi CMOS circuits: smart series switch. In: Proceedings of the ISCAS 2000 Geneva, Circuits and Systems the 2000 IEEE International Symposium on, vol. 4, pp. 1–4 (2000). doi:10.1109/ISCAS.2000.858673 van der Meer, P., van Staveren, A., van Roermund, A.: Ultra-low standby-currents for deep sub-micron vlsi CMOS circuits: smart series switch. In: Proceedings of the ISCAS 2000 Geneva, Circuits and Systems the 2000 IEEE International Symposium on, vol. 4, pp. 1–4 (2000). doi:10.​1109/​ISCAS.​2000.​858673
107.
go back to reference Vattikonda, R., Wang, W., Cao, Y.: Modeling and minimization of PMOS NBTI effect for robust nanometer design. In: ACM/IEEE 43rd Design Automation Conference, 2006, pp. 1047–1052 (2006).doi:10.1109/DAC.2006.229436 Vattikonda, R., Wang, W., Cao, Y.: Modeling and minimization of PMOS NBTI effect for robust nanometer design. In: ACM/IEEE 43rd Design Automation Conference, 2006, pp. 1047–1052 (2006).doi:10.​1109/​DAC.​2006.​229436
108.
go back to reference Verma, N., Chandrakasan, A.P.: A 256 kb 65 nm 8T subthreshold SRAM employing sense-amplifier redundancy. IEEE J. Solid-State Circuit 43(1), 141–149 (2008)CrossRef Verma, N., Chandrakasan, A.P.: A 256 kb 65 nm 8T subthreshold SRAM employing sense-amplifier redundancy. IEEE J. Solid-State Circuit 43(1), 141–149 (2008)CrossRef
115.
go back to reference Wittmann, R., Puchner, H., Ceric, H., Selberherr, S.: Impact of random bit values on nbti lifetime of an SRAM cell. In: 13th International Symposium on the Physical and Failure Analysis of Integrated Circuits, 2006, pp. 41–44 (2006). doi:10.1109/IPFA.2006.250993 Wittmann, R., Puchner, H., Ceric, H., Selberherr, S.: Impact of random bit values on nbti lifetime of an SRAM cell. In: 13th International Symposium on the Physical and Failure Analysis of Integrated Circuits, 2006, pp. 41–44 (2006). doi:10.​1109/​IPFA.​2006.​250993
118.
go back to reference Yang, S., Powell, M., Falsafi, B., Roy, K., Vijaykumar, T.: An integrated circuit/architecture approach to reducing leakage in deep-submicron high-performance i-caches. In: The 7th International Symposium on High-Performance Computer Architecture, HPCA. 2001, pp. 147–157 (2001). doi:10.1109/HPCA.2001.903259 Yang, S., Powell, M., Falsafi, B., Roy, K., Vijaykumar, T.: An integrated circuit/architecture approach to reducing leakage in deep-submicron high-performance i-caches. In: The 7th International Symposium on High-Performance Computer Architecture, HPCA. 2001, pp. 147–157 (2001). doi:10.​1109/​HPCA.​2001.​903259
124.
go back to reference Zhao, W., Cao, Y.: New generation of predictive technology model for sub-45 nm design exploration. In: 7th International Symposium on Quality Electronic Design, 2006, ISQED ’06, San Jose, p. 6, p. 590 (2006) Zhao, W., Cao, Y.: New generation of predictive technology model for sub-45 nm design exploration. In: 7th International Symposium on Quality Electronic Design, 2006, ISQED ’06, San Jose, p. 6, p. 590 (2006)
Metadata
Title
NBTI and Its Effect on SRAM
Authors
Jawar Singh
Saraju P. Mohanty
Dhiraj K. Pradhan
Copyright Year
2013
Publisher
Springer New York
DOI
https://doi.org/10.1007/978-1-4614-0818-5_6