Skip to main content
Top

2012 | OriginalPaper | Chapter

3. Processor Cores

Authors : Kunio Uchiyama, Fumio Arakawa, Hironori Kasahara, Tohru Nojiri, Hideyuki Noda, Yasuhiro Tawara, Akio Idehara, Kenichi Iwata, Hiroaki Shikano

Published in: Heterogeneous Multicore Processor Technologies for Embedded Systems

Publisher: Springer New York

Activate our intelligent search to find suitable subject content or patents.

search-config
loading …

Abstract

The processor cores described in this chapter are well tuned for embedded systems. They are SuperHTM RISC engine family processor cores (SH cores) as typical embedded CPU cores, flexible engine/generic ALU array (FE–GA or shortly called FE as flexible engine) as a reconfigurable processor core, MX core as a massively parallel SIMD-type processor, and video processing unit (VPU) as a video processing accelerator. We can implement heterogeneous multicore processor chips with them, and three implemented prototype chips, RP-1, RP-2, and RP-X, are introduced in the Chap. 4.

Dont have a licence yet? Then find out more about our products and how to get one now:

Springer Professional "Wirtschaft+Technik"

Online-Abonnement

Mit Springer Professional "Wirtschaft+Technik" erhalten Sie Zugriff auf:

  • über 102.000 Bücher
  • über 537 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Maschinenbau + Werkstoffe
  • Versicherung + Risiko

Jetzt Wissensvorsprung sichern!

Springer Professional "Technik"

Online-Abonnement

Mit Springer Professional "Technik" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 390 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Maschinenbau + Werkstoffe




 

Jetzt Wissensvorsprung sichern!

Springer Professional "Wirtschaft"

Online-Abonnement

Mit Springer Professional "Wirtschaft" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 340 Zeitschriften

aus folgenden Fachgebieten:

  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Versicherung + Risiko




Jetzt Wissensvorsprung sichern!

Literature
2.
go back to reference Gwennap L (1996) CPU technology has deep roots. Microprocessor Report 10(10):9–13 Gwennap L (1996) CPU technology has deep roots. Microprocessor Report 10(10):9–13
3.
go back to reference Nakamura H et al (1983) A Circuit Methodology for CMOS Microcomputer LSIs. ISSCC Dig Tech Papers:134–135 Nakamura H et al (1983) A Circuit Methodology for CMOS Microcomputer LSIs. ISSCC Dig Tech Papers:134–135
4.
go back to reference Patrick P. Gelsinger (2001) Microprocessors for the New Millennium Challenges, Opportunities, and New Frontiers. ISSCC Dig Tech Papers, Session 1.3 Patrick P. Gelsinger (2001) Microprocessors for the New Millennium Challenges, Opportunities, and New Frontiers. ISSCC Dig Tech Papers, Session 1.3
5.
go back to reference Weicker RP (1984) Dhrystone: a synthetic programming benchmark. Commun ACM 27(10):1013–1030CrossRef Weicker RP (1984) Dhrystone: a synthetic programming benchmark. Commun ACM 27(10):1013–1030CrossRef
6.
go back to reference Kawasaki S (1994) SH-II a low power RISC microprocessor for consumer applications. HOT Chips VI:79–103 Kawasaki S (1994) SH-II a low power RISC microprocessor for consumer applications. HOT Chips VI:79–103
7.
go back to reference Hasegawa A et al (1995) SH-3: high code density, low power. IEEE Micro 15(6):11–19CrossRef Hasegawa A et al (1995) SH-3: high code density, low power. IEEE Micro 15(6):11–19CrossRef
8.
go back to reference Arakawa F, et al (1997) SH4 RISC Multimedia Microprocessor. HOT Chips IX Symposium Record:165–176 Arakawa F, et al (1997) SH4 RISC Multimedia Microprocessor. HOT Chips IX Symposium Record:165–176
9.
go back to reference Nishii O, et al (1998) A 200 MHz 1.2 W 1.4GFLOPS microprocessor with graphic operation unit. ISSCC Dig Tech Papers:288–289, 447 Nishii O, et al (1998) A 200 MHz 1.2 W 1.4GFLOPS microprocessor with graphic operation unit. ISSCC Dig Tech Papers:288–289, 447
10.
go back to reference Arakawa F et al (1998) SH4 RISC multimedia microprocessor. IEEE Micro 18(2):26–34CrossRef Arakawa F et al (1998) SH4 RISC multimedia microprocessor. IEEE Micro 18(2):26–34CrossRef
11.
go back to reference Biswas P et al (2000) SH-5: the 64 bit SuperH architecture. IEEE Micro 20(4):28–39CrossRef Biswas P et al (2000) SH-5: the 64 bit SuperH architecture. IEEE Micro 20(4):28–39CrossRef
12.
go back to reference Uchiyama K et al (2001) Embedded processor core with 64-bit architecture and its system-on-chip integration for digital consumer products. IEICE Trans Electron E84-C(2):139–149 Uchiyama K et al (2001) Embedded processor core with 64-bit architecture and its system-on-chip integration for digital consumer products. IEICE Trans Electron E84-C(2):139–149
13.
go back to reference Arakawa F (2001) SH-5: A First 64-bit SuperH Core with Multimedia Extension. HOT Chips 13 Conference Record Arakawa F (2001) SH-5: A First 64-bit SuperH Core with Multimedia Extension. HOT Chips 13 Conference Record
14.
go back to reference Arakawa F et al (2004) An embedded processor core for consumer appliances with 2.8GFLOPS and 36 M Polygons/s FPU. ISSCC Dig Tech Papers 1:334–335, 531 Arakawa F et al (2004) An embedded processor core for consumer appliances with 2.8GFLOPS and 36 M Polygons/s FPU. ISSCC Dig Tech Papers 1:334–335, 531
15.
go back to reference Ozawa M, et al (2004) Pipeline Structure of SH-X Core for Achieving High Performance and Low Power, COOL Chips VII Proceedings, vol. I:239–254 Ozawa M, et al (2004) Pipeline Structure of SH-X Core for Achieving High Performance and Low Power, COOL Chips VII Proceedings, vol. I:239–254
16.
go back to reference Arakawa F et al (2004) An embedded processor core for consumer appliances with 2.8GFLOPS and 36 M polygons/s FPU. IEICE Trans Fundamentals E87-A(12):3068–3074 Arakawa F et al (2004) An embedded processor core for consumer appliances with 2.8GFLOPS and 36 M polygons/s FPU. IEICE Trans Fundamentals E87-A(12):3068–3074
17.
go back to reference Arakawa F et al (2005) An exact leading non-zero detector for a floating-point unit. IEICE Trans Electron E88-C(4):570–575CrossRef Arakawa F et al (2005) An exact leading non-zero detector for a floating-point unit. IEICE Trans Electron E88-C(4):570–575CrossRef
18.
go back to reference Arakawa F et al (2005) SH-X: an embedded processor core for consumer appliances. ACM SIGARCH Comput Architect News 33(3):33–40MathSciNetCrossRef Arakawa F et al (2005) SH-X: an embedded processor core for consumer appliances. ACM SIGARCH Comput Architect News 33(3):33–40MathSciNetCrossRef
19.
go back to reference Kamei T, et al (2004) A resume-standby application processor for 3G cellular phones. ISSCC Dig Tech Papers:336–337, 531 Kamei T, et al (2004) A resume-standby application processor for 3G cellular phones. ISSCC Dig Tech Papers:336–337, 531
20.
go back to reference Ishikawa M, et al (2004) A resume-standby application processor for 3G cellular phones with low power clock distribution and on-chip memory activation control. COOL Chips VII Proceedings, vol. I:329–351 Ishikawa M, et al (2004) A resume-standby application processor for 3G cellular phones with low power clock distribution and on-chip memory activation control. COOL Chips VII Proceedings, vol. I:329–351
21.
go back to reference Ishikawa M et al (2005) A 4500 MIPS/W, 86 μA resume-standby, 11 μA ultra-standby application processor for 3 G cellular phones. IEICE Trans Electron E88-C(4):528–535CrossRef Ishikawa M et al (2005) A 4500 MIPS/W, 86 μA resume-standby, 11 μA ultra-standby application processor for 3 G cellular phones. IEICE Trans Electron E88-C(4):528–535CrossRef
22.
go back to reference Yamada T, et al (2005) Low-Power Design of 90-nm SuperHTM Processor Core. Proceedings of 2005 IEEE International Conference on Computer Design (ICCD), pp 258–263 Yamada T, et al (2005) Low-Power Design of 90-nm SuperHTM Processor Core. Proceedings of 2005 IEEE International Conference on Computer Design (ICCD), pp 258–263
23.
go back to reference Arakawa F, et al (2005) SH-X2: An embedded processor core with 5.6 GFLOPS and 73 M Polygons/s FPU, 7th Workshop on Media and Streaming Processors (MSP-7):22–28 Arakawa F, et al (2005) SH-X2: An embedded processor core with 5.6 GFLOPS and 73 M Polygons/s FPU, 7th Workshop on Media and Streaming Processors (MSP-7):22–28
24.
go back to reference Yamada T et al (2006) Reducing consuming clock power optimization of a 90 nm embedded processor core. IEICE Trans Electron E89–C(3):287–294CrossRef Yamada T et al (2006) Reducing consuming clock power optimization of a 90 nm embedded processor core. IEICE Trans Electron E89–C(3):287–294CrossRef
25.
go back to reference Hattori T, et al (2006) A power management scheme controlling 20 power domains for a single-chip mobile processor. ISSCC Dig Tech Papers, Session 29.5 Hattori T, et al (2006) A power management scheme controlling 20 power domains for a single-chip mobile processor. ISSCC Dig Tech Papers, Session 29.5
26.
go back to reference Ito M, et al (2007) A 390 MHz single-chip application and dual-mode baseband processor in 90 nm Triple-Vt CMOS. ISSCC Dig Tech Papers, Session 15.3 Ito M, et al (2007) A 390 MHz single-chip application and dual-mode baseband processor in 90 nm Triple-Vt CMOS. ISSCC Dig Tech Papers, Session 15.3
27.
go back to reference Naruse M, et al (2008) A 65 nm single-chip application and dual-mode baseband processor with partial clock activation and IP-MMU. ISSCC Dig Tech Papers, Session 13.3 Naruse M, et al (2008) A 65 nm single-chip application and dual-mode baseband processor with partial clock activation and IP-MMU. ISSCC Dig Tech Papers, Session 13.3
28.
go back to reference Ito M et al (2009) A 65 nm single-chip application and dual-mode baseband processor with partial clock activation and IP-MMU. IEEE J Solid-State Circuits 44(1):83–89CrossRef Ito M et al (2009) A 65 nm single-chip application and dual-mode baseband processor with partial clock activation and IP-MMU. IEEE J Solid-State Circuits 44(1):83–89CrossRef
29.
go back to reference Kamei T (2006) SH-X3: Enhanced SuperH core for low-power multi-processor systems. Fall Microprocessor Forum 2006 Kamei T (2006) SH-X3: Enhanced SuperH core for low-power multi-processor systems. Fall Microprocessor Forum 2006
30.
go back to reference Arakawa F (2007) An embedded processor: is it ready for high-performance computing? IWIA 2007:101–109 Arakawa F (2007) An embedded processor: is it ready for high-performance computing? IWIA 2007:101–109
31.
go back to reference Yoshida Y, et al (2007) A 4320MIPS four-processor core SMP/AMP with Individually managed clock frequency for low power consumption. ISSCC Dig Tech Papers, Session 5.3 Yoshida Y, et al (2007) A 4320MIPS four-processor core SMP/AMP with Individually managed clock frequency for low power consumption. ISSCC Dig Tech Papers, Session 5.3
32.
go back to reference Shibahara S, et al (2007) SH-X3: Flexible SuperH multi-core for high-performance and low-power embedded systems. HOT CHIPS 19, Session 4, no 1 Shibahara S, et al (2007) SH-X3: Flexible SuperH multi-core for high-performance and low-power embedded systems. HOT CHIPS 19, Session 4, no 1
33.
go back to reference Nishii O, et al (2007) Design of a 90 nm 4-CPU 4320 MIPS SoC with individually managed frequency and 2.4 GB/s multi-master on-chip interconnect. Proc 2007 A-SSCC, pp 18–21 Nishii O, et al (2007) Design of a 90 nm 4-CPU 4320 MIPS SoC with individually managed frequency and 2.4 GB/s multi-master on-chip interconnect. Proc 2007 A-SSCC, pp 18–21
34.
go back to reference Takada M, et al (2007) Performance and power evaluation of SH-X3 multi-core system. Proc 2007 A-SSCC, pp 43–46 Takada M, et al (2007) Performance and power evaluation of SH-X3 multi-core system. Proc 2007 A-SSCC, pp 43–46
35.
go back to reference Ito M, et al (2008) An 8640 MIPS SoC with independent power-off control of 8 CPUs and 8 RAMs by an automatic parallelizing compiler. ISSCC Dig Tech Papers, Session 4.5 Ito M, et al (2008) An 8640 MIPS SoC with independent power-off control of 8 CPUs and 8 RAMs by an automatic parallelizing compiler. ISSCC Dig Tech Papers, Session 4.5
36.
go back to reference Yoshida Y, et al (2008) An 8 CPU SoC with independent power-off control of CPUs and multicore software debug function. COOL Chips XI Proceedings, Session IX, no. 1 Yoshida Y, et al (2008) An 8 CPU SoC with independent power-off control of CPUs and multicore software debug function. COOL Chips XI Proceedings, Session IX, no. 1
37.
go back to reference Arakawa F (2008) Multicore SoC for embedded systems. International SoC Design Conference (ISOCC) 2008, pp.I-180–I-183 Arakawa F (2008) Multicore SoC for embedded systems. International SoC Design Conference (ISOCC) 2008, pp.I-180–I-183
38.
go back to reference Kido H, et al (2009) SoC for car navigation systems with a 53.3 GOPS image recognition engine. HOT CHIPS 21, Session 6, no. 3 Kido H, et al (2009) SoC for car navigation systems with a 53.3 GOPS image recognition engine. HOT CHIPS 21, Session 6, no. 3
39.
go back to reference Yuyama Y, et al (2010) A 45 nm 37.3GOPS/W heterogeneous multi-core SoC. ISSCC Dig:100–101 Yuyama Y, et al (2010) A 45 nm 37.3GOPS/W heterogeneous multi-core SoC. ISSCC Dig:100–101
40.
go back to reference Nito T, et al (2010) A 45 nm heterogeneous multi-core SoC supporting an over 32-bits physical address space for digital appliance. COOL Chips XIII Proceedings, Session XI, no. 1 Nito T, et al (2010) A 45 nm heterogeneous multi-core SoC supporting an over 32-bits physical address space for digital appliance. COOL Chips XIII Proceedings, Session XI, no. 1
41.
go back to reference Arakawa F (2011) Low power multicore for embedded systems. COMS Emerg Technol, Session 5B, no. 1 Arakawa F (2011) Low power multicore for embedded systems. COMS Emerg Technol, Session 5B, no. 1
42.
go back to reference Song SP et al (1994) The PowerPC 604 RISC microprocessor. IEEE Micro 14(5):8–22CrossRef Song SP et al (1994) The PowerPC 604 RISC microprocessor. IEEE Micro 14(5):8–22CrossRef
43.
go back to reference Levitan D, et al (1995) The PowerPC 620TM microprocessor: a high performance superscalar RISC microprocessor. Compcon ‘95.’Technologies for the Information Superhighway’, Digest of Papers, pp 285–291 Levitan D, et al (1995) The PowerPC 620TM microprocessor: a high performance superscalar RISC microprocessor. Compcon ‘95.’Technologies for the Information Superhighway’, Digest of Papers, pp 285–291
44.
go back to reference Edmondson JH et al (1995) Superscalar instruction execution in the 21164 alpha microprocessor. IEEE Micro 15(2):33–43CrossRef Edmondson JH et al (1995) Superscalar instruction execution in the 21164 alpha microprocessor. IEEE Micro 15(2):33–43CrossRef
45.
go back to reference Gronowski PE et al (1998) High-performance microprocessor design. IEEE J Solid-State Circuit 33(5):676–686CrossRef Gronowski PE et al (1998) High-performance microprocessor design. IEEE J Solid-State Circuit 33(5):676–686CrossRef
46.
go back to reference Yeager KC (1996) The MIPS R10000 superscalar microprocessor. IEEE Micro 16(2):28–40CrossRef Yeager KC (1996) The MIPS R10000 superscalar microprocessor. IEEE Micro 16(2):28–40CrossRef
47.
go back to reference Golden M et al (1999) A seventh-generation x86 microprocessor. IEEE J Solid-State Circuit 34(11):1466–1477CrossRef Golden M et al (1999) A seventh-generation x86 microprocessor. IEEE J Solid-State Circuit 34(11):1466–1477CrossRef
48.
go back to reference Hinton G, et al (2001) A 0.18-μm CMOS IA-32 processor with a 4-GHz integer execution unit. IEEE J Solid-State Circuit 36:11 Hinton G, et al (2001) A 0.18-μm CMOS IA-32 processor with a 4-GHz integer execution unit. IEEE J Solid-State Circuit 36:11
49.
go back to reference Weicker RP (1988) Dhrystone benchmark: rationale for version 2 and measurement rules. ACM SIGPLAN Notices 23(8):49–62CrossRef Weicker RP (1988) Dhrystone benchmark: rationale for version 2 and measurement rules. ACM SIGPLAN Notices 23(8):49–62CrossRef
50.
go back to reference Kodama T, et al (2006) Flexible engine: a dynamic reconfigurable accelerator with high performance and low power consumption, In: Proc of the IEEE Symposium on Low-Power and High-Speed Chips (COOL Chips IX) Kodama T, et al (2006) Flexible engine: a dynamic reconfigurable accelerator with high performance and low power consumption, In: Proc of the IEEE Symposium on Low-Power and High-Speed Chips (COOL Chips IX)
51.
go back to reference Motomura M (2002) A dynamically reconfigurable processor architecture. Microprocessor Forum 2002, Session 4-2 Motomura M (2002) A dynamically reconfigurable processor architecture. Microprocessor Forum 2002, Session 4-2
52.
go back to reference Fujii T, et al (1999) A dynamically reconfigurable logic engine with a multi-Context/multi-mode unified-cell architecture. Proc Intl Solid-State Circuits Conf, pp 360–361 Fujii T, et al (1999) A dynamically reconfigurable logic engine with a multi-Context/multi-mode unified-cell architecture. Proc Intl Solid-State Circuits Conf, pp 360–361
53.
go back to reference Cooley JW, Tukey JW (1965) An algorithm for the machine calculation of complex Fourier series. Mathemat Comput, 19 Cooley JW, Tukey JW (1965) An algorithm for the machine calculation of complex Fourier series. Mathemat Comput, 19
54.
go back to reference Pease MC (1968) An adaptation of the fast Fourier transform for parallel processing. J ACM, 15(2) Pease MC (1968) An adaptation of the fast Fourier transform for parallel processing. J ACM, 15(2)
55.
go back to reference Noda H et al (2007) The design and implementation of the massively parallel processor based on the matrix architecture. IEEE J Solid-State Circuits 42(1):183–192MathSciNetCrossRef Noda H et al (2007) The design and implementation of the massively parallel processor based on the matrix architecture. IEEE J Solid-State Circuits 42(1):183–192MathSciNetCrossRef
56.
go back to reference Noda H et al (2007) The circuits and robust design methodology of the massively parallel processor based on the matrix architecture. IEEE J Solid-State Circuits 42(4):804–812CrossRef Noda H et al (2007) The circuits and robust design methodology of the massively parallel processor based on the matrix architecture. IEEE J Solid-State Circuits 42(4):804–812CrossRef
57.
go back to reference Kuang JB, et al (2005) A double-precision multiplier with fine-grained clock-gating support for a first-generation CELL processor. In: IEEE Int Solid-State Circuits Conf Dig Tech Papers, 378–379 Kuang JB, et al (2005) A double-precision multiplier with fine-grained clock-gating support for a first-generation CELL processor. In: IEEE Int Solid-State Circuits Conf Dig Tech Papers, 378–379
58.
go back to reference Flachs B, et al (2005) A streaming processor unit for a CELL processor. IEEE Int Solid-State Circuits Conf Dig Tech Papers 134–135 Flachs B, et al (2005) A streaming processor unit for a CELL processor. IEEE Int Solid-State Circuits Conf Dig Tech Papers 134–135
59.
go back to reference Kyo S et al (2003) A 51.2GOPS scalable video recognition processor for intelligent cruise control based on a linear array of 128 four-way VLIW processing elements. IEEE J Solid-State Circuits 38(11):1992–2000CrossRef Kyo S et al (2003) A 51.2GOPS scalable video recognition processor for intelligent cruise control based on a linear array of 128 four-way VLIW processing elements. IEEE J Solid-State Circuits 38(11):1992–2000CrossRef
60.
go back to reference Hillis D (1985) The connection machine. MIT, Cambridge, MA Hillis D (1985) The connection machine. MIT, Cambridge, MA
61.
go back to reference Swan RJ et al (1977) The implementation of the CM multiprocessor. Proc NCC 46:645–655 Swan RJ et al (1977) The implementation of the CM multiprocessor. Proc NCC 46:645–655
62.
go back to reference Amano H (1996) Parallel computers. Tokyo, Shoukoudou Amano H (1996) Parallel computers. Tokyo, Shoukoudou
63.
go back to reference Kurafuji T, et al (2010) A scalable massively parallel processor for real-time image processing. IEEE Int Solid-State Circuits Conf Dig Tech Papers:334–335 Kurafuji T, et al (2010) A scalable massively parallel processor for real-time image processing. IEEE Int Solid-State Circuits Conf Dig Tech Papers:334–335
64.
go back to reference Joint Video Team (JVT) of ISO/IEC MEPG & ITU-T VCEG, Text of International Standard of Joint Video Specification, ITU-T Rec. H.264 | ISO/IEC 14496-10 Advanced Video Coding, Dec. 2003 Joint Video Team (JVT) of ISO/IEC MEPG & ITU-T VCEG, Text of International Standard of Joint Video Specification, ITU-T Rec. H.264 | ISO/IEC 14496-10 Advanced Video Coding, Dec. 2003
65.
go back to reference Richardson IEG (2003) H.264 and MPEG-4 video compression: video coding for next-generation multimedia. Wiley, New YorkCrossRef Richardson IEG (2003) H.264 and MPEG-4 video compression: video coding for next-generation multimedia. Wiley, New YorkCrossRef
66.
go back to reference Wiegand T et al (2003) Overview of the H.264/AVC video coding standard. IEEE Trans Circuits Syst Video Technol 13(7):560–576CrossRef Wiegand T et al (2003) Overview of the H.264/AVC video coding standard. IEEE Trans Circuits Syst Video Technol 13(7):560–576CrossRef
67.
go back to reference Shirasaki M, et al (2009) A 45 nm Single-Chip Application-and-Baseband Processor Using an Intermittent Operation Technique. IEEE ISSCC Dig Tech Papers:156–157 Shirasaki M, et al (2009) A 45 nm Single-Chip Application-and-Baseband Processor Using an Intermittent Operation Technique. IEEE ISSCC Dig Tech Papers:156–157
68.
go back to reference Nomura S, et al (2008) A 9.7 mW AAC-decoding, 620 mW H.264 720p 60fps decoding, 8-core media processor with embedded forward-body-biasing and power-gating circuit in 65 nm CMOS technology. IEEE ISSCC Dig Tech Papers:262–263 Nomura S, et al (2008) A 9.7 mW AAC-decoding, 620 mW H.264 720p 60fps decoding, 8-core media processor with embedded forward-body-biasing and power-gating circuit in 65 nm CMOS technology. IEEE ISSCC Dig Tech Papers:262–263
69.
go back to reference Mair H, et al (2007) A 65-nm mobile multimedia applications processor with an adaptive power management scheme to compensate for variations. Dig Symp VLSI Circuits:224–225 Mair H, et al (2007) A 65-nm mobile multimedia applications processor with an adaptive power management scheme to compensate for variations. Dig Symp VLSI Circuits:224–225
70.
go back to reference Chien CD et al (2007) A 252kgate/7lmW multi-standard multi-channel video decoder for high definition video applications. IEEE ISSCC Dig Tech Papers:282–283 Chien CD et al (2007) A 252kgate/7lmW multi-standard multi-channel video decoder for high definition video applications. IEEE ISSCC Dig Tech Papers:282–283
71.
go back to reference Liu TM et al (2007) A 125 μW, fully scalable MPEG-2 and H.264/AVC video decoder for mobile applications. IEEE J Solid-State Circuits 42(1):161–169CrossRef Liu TM et al (2007) A 125 μW, fully scalable MPEG-2 and H.264/AVC video decoder for mobile applications. IEEE J Solid-State Circuits 42(1):161–169CrossRef
72.
go back to reference Lin YK, et al (2008) A 242 mW 10 mm2 1080p H.264/AVC high-profile encoder chip. IEEE ISSCC Dig Tech Papers:314–315 Lin YK, et al (2008) A 242 mW 10 mm2 1080p H.264/AVC high-profile encoder chip. IEEE ISSCC Dig Tech Papers:314–315
73.
go back to reference Chen YH, et al (2008) An H.264/AVC scalable extension and high profile HDTV 1080p encoder chip. Symp VLSI Circuits Dig:104–105 Chen YH, et al (2008) An H.264/AVC scalable extension and high profile HDTV 1080p encoder chip. Symp VLSI Circuits Dig:104–105
74.
go back to reference Iwata K et al (2009) 256 mW 40 Mbps Full-HD H.264 high-profile codec featuring a dual-macroblock pipeline architecture in 65 nm CMOS. IEEE J Solid-State Circuits 44(4):1184–1191CrossRef Iwata K et al (2009) 256 mW 40 Mbps Full-HD H.264 high-profile codec featuring a dual-macroblock pipeline architecture in 65 nm CMOS. IEEE J Solid-State Circuits 44(4):1184–1191CrossRef
75.
go back to reference ITU-T, ITU-T Recommendation H.264.1, Conformance Specification for H.264 Advanced Video Coding, 2005 ITU-T, ITU-T Recommendation H.264.1, Conformance Specification for H.264 Advanced Video Coding, 2005
76.
go back to reference Iwata K et al (2010) A 342 mW mobile application processor with full-hd multi-standard video codec and tile-based address-translation circuits. IEEE J Solid-State Circuits 45(1):59–68CrossRef Iwata K et al (2010) A 342 mW mobile application processor with full-hd multi-standard video codec and tile-based address-translation circuits. IEEE J Solid-State Circuits 45(1):59–68CrossRef
77.
go back to reference Kimura M et al (2009) A full HD multistandard video codec for mobile applications. IEEE Micro 29(6):18–27CrossRef Kimura M et al (2009) A full HD multistandard video codec for mobile applications. IEEE Micro 29(6):18–27CrossRef
78.
go back to reference Wiegand T et al (2010) Special Section on the Joint Call for Proposals on High Efficiency Video Coding (HEVC) Standardization. IEEE Trans Circuits Syst Video Technol 20(12):1661–1666CrossRef Wiegand T et al (2010) Special Section on the Joint Call for Proposals on High Efficiency Video Coding (HEVC) Standardization. IEEE Trans Circuits Syst Video Technol 20(12):1661–1666CrossRef
Metadata
Title
Processor Cores
Authors
Kunio Uchiyama
Fumio Arakawa
Hironori Kasahara
Tohru Nojiri
Hideyuki Noda
Yasuhiro Tawara
Akio Idehara
Kenichi Iwata
Hiroaki Shikano
Copyright Year
2012
Publisher
Springer New York
DOI
https://doi.org/10.1007/978-1-4614-0284-8_3