Skip to main content
Top

2019 | OriginalPaper | Chapter

16. Reconfigurable, Switched-Capacitor Power Converter for IoT

Authors : Dima Kilani, Mohammad Alhawari, Baker Mohammad, Hani Saleh, Mohammed Ismail

Published in: The IoT Physical Layer

Publisher: Springer International Publishing

Activate our intelligent search to find suitable subject content or patents.

search-config
loading …

Abstract

This chapter introduces an efficient reconfigurable, multiple voltage gain switched-capacitor DC–DC buck converter as part of a power management unit for wearable IoTs. The switched-capacitor converter has an input voltage of 0.6–1.2 V generated from an energy harvesting source. The switched-capacitor converter utilizes pulse frequency modulation to generate multiple regulated output voltage levels, namely 1, 0.8 and 0.6 V based on two reconfigurable bits over a wide range of load currents from 10 \(\upmu \)A to 800 \(\upmu \)A. The switched-capacitor converter is designed and fabricated in 65 nm low-power CMOS technology and occupies an area of 0.493 mm\(^2\). The design utilizes a stack of MIM and MOS capacitances to optimize the circuit area and efficiency. The measured peak efficiency is 80\(\%\) at a load current of 800 \(\upmu \)A and regulated load voltage of 1 V.

Dont have a licence yet? Then find out more about our products and how to get one now:

Springer Professional "Wirtschaft+Technik"

Online-Abonnement

Mit Springer Professional "Wirtschaft+Technik" erhalten Sie Zugriff auf:

  • über 102.000 Bücher
  • über 537 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Maschinenbau + Werkstoffe
  • Versicherung + Risiko

Jetzt Wissensvorsprung sichern!

Springer Professional "Technik"

Online-Abonnement

Mit Springer Professional "Technik" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 390 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Maschinenbau + Werkstoffe




 

Jetzt Wissensvorsprung sichern!

Literature
1.
go back to reference C. Lu, V. Raghunathan, K. Roy, Efficient design of micro-scale energy harvesting systems. IEEE J. Emerg. Sel. Top. Circuits Syst. 1(3), 254–266 (2011)CrossRef C. Lu, V. Raghunathan, K. Roy, Efficient design of micro-scale energy harvesting systems. IEEE J. Emerg. Sel. Top. Circuits Syst. 1(3), 254–266 (2011)CrossRef
2.
go back to reference Y. Ramadass, A. Chandrakasan, A battery-less thermoelectric energy harvesting interface circuit with 35 mv startup voltage. IEEE J. Solid-State Circuits 46(1), 333–341 (2011)CrossRef Y. Ramadass, A. Chandrakasan, A battery-less thermoelectric energy harvesting interface circuit with 35 mv startup voltage. IEEE J. Solid-State Circuits 46(1), 333–341 (2011)CrossRef
3.
go back to reference P. Luo, S. Zhen, J. Wang, K. Yang, P. Liao, X. Zhu, Digital assistant power integrated technologies for pmu in scaling cmos process. IEEE Trans. Power Electron. 29(7), 3798–3807 (2014)CrossRef P. Luo, S. Zhen, J. Wang, K. Yang, P. Liao, X. Zhu, Digital assistant power integrated technologies for pmu in scaling cmos process. IEEE Trans. Power Electron. 29(7), 3798–3807 (2014)CrossRef
4.
go back to reference L.G. Salem, P.P. Mercier, An 85%-efficiency fully integrated 15-ratio recursive switched-capacitor dc–dc converter with 0.1-to-2.2 v output voltage range, in ISSCC. (IEEE, 2014), pp. 88–89 L.G. Salem, P.P. Mercier, An 85%-efficiency fully integrated 15-ratio recursive switched-capacitor dc–dc converter with 0.1-to-2.2 v output voltage range, in ISSCC. (IEEE, 2014), pp. 88–89
5.
go back to reference J. Pyo, Y. Shin et al., 20 nm high-k metal-gate heterogeneous 64b quad-core cpus and hexa-core gpu for high-performance and energy-efficient mobile application processor, in ISSCC. (IEEE, 2015), pp. 1–3 J. Pyo, Y. Shin et al., 20 nm high-k metal-gate heterogeneous 64b quad-core cpus and hexa-core gpu for high-performance and energy-efficient mobile application processor, in ISSCC. (IEEE, 2015), pp. 1–3
6.
go back to reference M. Alioto, Ultra-low power vlsi circuit design demystified and explained. Trans. Circuits Syst. I 59(1), 3–29 (2012)MathSciNetCrossRef M. Alioto, Ultra-low power vlsi circuit design demystified and explained. Trans. Circuits Syst. I 59(1), 3–29 (2012)MathSciNetCrossRef
7.
go back to reference T. Instruments, A usb-enabled system-on-chip solution for 2.4 ghz ieee 802.15. 4 and zigbee applications (2009) T. Instruments, A usb-enabled system-on-chip solution for 2.4 ghz ieee 802.15. 4 and zigbee applications (2009)
8.
go back to reference S. STM32W108HB, High-performance, 802.15. 4 wireless system-on-chip, Preliminary data. Doc ID, vol. 16252 S. STM32W108HB, High-performance, 802.15. 4 wireless system-on-chip, Preliminary data. Doc ID, vol. 16252
9.
go back to reference F. Semiconductor, Mc1322x technical data, rev. 1.3, (2010) F. Semiconductor, Mc1322x technical data, rev. 1.3, (2010)
10.
go back to reference B. Mohammad, J. Abraham, A reduced voltage swing circuit using a single supply to enable lower voltage operation for sram-based memory. Microelectron. J. 43(2), 110–118 (2012)CrossRef B. Mohammad, J. Abraham, A reduced voltage swing circuit using a single supply to enable lower voltage operation for sram-based memory. Microelectron. J. 43(2), 110–118 (2012)CrossRef
11.
go back to reference P. Hazucha, T. Karnik, B.A. Bloechel, C. Parsons, D. Finan, S. Borkar, Area-efficient linear regulator with ultra-fast load regulation. IEEE J. Solid-State Circuits 40(4), 933–940 (2005)CrossRef P. Hazucha, T. Karnik, B.A. Bloechel, C. Parsons, D. Finan, S. Borkar, Area-efficient linear regulator with ultra-fast load regulation. IEEE J. Solid-State Circuits 40(4), 933–940 (2005)CrossRef
12.
go back to reference C.-W. Chen, A. Fayed, A low-power dual-frequency simo buck converter topology with fully-integrated outputs and fast dynamic operation in 45 nm cmos. IEEE J. Solid-State Circuits 50(9), 2161–2173 (2015)CrossRef C.-W. Chen, A. Fayed, A low-power dual-frequency simo buck converter topology with fully-integrated outputs and fast dynamic operation in 45 nm cmos. IEEE J. Solid-State Circuits 50(9), 2161–2173 (2015)CrossRef
13.
go back to reference D. Lu, Y. Qian, Z. Hong, 4.3 an 87%-peak-efficiency dvs-capable single-inductor 4-output dc-dc buck converter with ripple-based adaptive off-time control, in 2014 IEEE International Solid-State Circuits Conference Digest of Technical Papers (ISSCC). (IEEE, 2014), pp. 82–83 D. Lu, Y. Qian, Z. Hong, 4.3 an 87%-peak-efficiency dvs-capable single-inductor 4-output dc-dc buck converter with ripple-based adaptive off-time control, in 2014 IEEE International Solid-State Circuits Conference Digest of Technical Papers (ISSCC). (IEEE, 2014), pp. 82–83
14.
go back to reference C. Tao, A.A. Fayed, A low-noise pfm-controlled buck converter for low-power applications. IEEE Trans. Circuits Syst. I Regul. Pap. 59(12), 3071–3080 (2012)MathSciNetCrossRef C. Tao, A.A. Fayed, A low-noise pfm-controlled buck converter for low-power applications. IEEE Trans. Circuits Syst. I Regul. Pap. 59(12), 3071–3080 (2012)MathSciNetCrossRef
15.
go back to reference M. Belloni, E. Bonizzoni, E. Kiseliovas, P. Malcovati, F. Maloberti, T. Peltola, T. Teppo, A 4-output single-inductor dc-dc buck converter with self-boosted switch drivers and 1.2 a total output current, in IEEE International Solid-State Circuits Conference, ISSCC 2008. Digest of Technical Papers. (IEEE, 2008), pp. 444–626 M. Belloni, E. Bonizzoni, E. Kiseliovas, P. Malcovati, F. Maloberti, T. Peltola, T. Teppo, A 4-output single-inductor dc-dc buck converter with self-boosted switch drivers and 1.2 a total output current, in IEEE International Solid-State Circuits Conference, ISSCC 2008. Digest of Technical Papers. (IEEE, 2008), pp. 444–626
16.
go back to reference W. Kim, D.M. Brooks, G.-Y. Wei, A fully-integrated 3-level dc, dc converter for nanosecond-scale dvs with fast shunt regulation, in 2011 IEEE International Solid-State Circuits Conference Digest of Technical Papers (ISSCC). (IEEE, 2011), pp. 268–270 W. Kim, D.M. Brooks, G.-Y. Wei, A fully-integrated 3-level dc, dc converter for nanosecond-scale dvs with fast shunt regulation, in 2011 IEEE International Solid-State Circuits Conference Digest of Technical Papers (ISSCC). (IEEE, 2011), pp. 268–270
17.
go back to reference J. Wibben, R. Harjani, A high-efficiency dc-dc converter using 2 nh integrated inductors. IEEE J. Solid-State Circuits 43(4), 844–854 (2008)CrossRef J. Wibben, R. Harjani, A high-efficiency dc-dc converter using 2 nh integrated inductors. IEEE J. Solid-State Circuits 43(4), 844–854 (2008)CrossRef
18.
go back to reference W. Fu, A. Fayed, A self-regulated 588 mhz buck regulator with on-chip passives and circuit stuffing in 65 nm, in 2014 IEEE 57th International Midwest Symposium on Circuits and Systems (MWSCAS). (IEEE, 2014), pp. 338–341 W. Fu, A. Fayed, A self-regulated 588 mhz buck regulator with on-chip passives and circuit stuffing in 65 nm, in 2014 IEEE 57th International Midwest Symposium on Circuits and Systems (MWSCAS). (IEEE, 2014), pp. 338–341
19.
go back to reference V. Ng, S. Sanders, A 92%-efficiency wide-input-voltage-range switched-capacitor dc–dc converter, in 2012 IEEE International Solid-State Circuits Conference Digest of Technical Papers (ISSCC). (IEEE, 2012), pp. 282–284 V. Ng, S. Sanders, A 92%-efficiency wide-input-voltage-range switched-capacitor dc–dc converter, in 2012 IEEE International Solid-State Circuits Conference Digest of Technical Papers (ISSCC). (IEEE, 2012), pp. 282–284
20.
go back to reference C. Tse, S. Wong, M. Chow, On lossless switched-capacitor power converters. IEEE Trans. Power Electron. 10(3), 286–291 (1995)CrossRef C. Tse, S. Wong, M. Chow, On lossless switched-capacitor power converters. IEEE Trans. Power Electron. 10(3), 286–291 (1995)CrossRef
21.
go back to reference D. Kilani, B. Mohammad, H. Saleh, M. Ismail, Ldo regulator versus switched inductor dc–dc converter, in 2014 21st IEEE International Conference on Electronics, Circuits and Systems (ICECS). (IEEE, 2014), pp. 638–641 D. Kilani, B. Mohammad, H. Saleh, M. Ismail, Ldo regulator versus switched inductor dc–dc converter, in 2014 21st IEEE International Conference on Electronics, Circuits and Systems (ICECS). (IEEE, 2014), pp. 638–641
22.
go back to reference D. Kilani, B. Mohammad, H. Saleh, M. Ismail, Switched capacitor dc–dc converter for ultra-low power applications, in IEEE International Conference on Electronics, Circuits, and Systems, vol. 2 (2014) D. Kilani, B. Mohammad, H. Saleh, M. Ismail, Switched capacitor dc–dc converter for ultra-low power applications, in IEEE International Conference on Electronics, Circuits, and Systems, vol. 2 (2014)
23.
go back to reference D. Ma, R. Bondade, in Reconfigurable Switched-Capacitor Power Converters (Springer, New York, 2013) D. Ma, R. Bondade, in Reconfigurable Switched-Capacitor Power Converters (Springer, New York, 2013)
24.
go back to reference B. Nikolic, V. G. Oklobdzija, V. Stojanovic, W. Jia, J. K.-S. Chiu, M. Ming-Tak Leung, Improved sense-amplifier-based flip-flop: design and measurements. JSSC 35(6), 876–884 (2000) B. Nikolic, V. G. Oklobdzija, V. Stojanovic, W. Jia, J. K.-S. Chiu, M. Ming-Tak Leung, Improved sense-amplifier-based flip-flop: design and measurements. JSSC 35(6), 876–884 (2000)
25.
go back to reference R.J. Baker, CMOS: Circuit Design, Layout, and Simulation, vol. 1 (Wiley, New York, 2008)CrossRef R.J. Baker, CMOS: Circuit Design, Layout, and Simulation, vol. 1 (Wiley, New York, 2008)CrossRef
26.
go back to reference H.-P. Le, S.R. Sanders, E. Alon, Design techniques for fully integrated switched-capacitor dc–dc converters. JSSC 46(9), 2120–2131 (2011) H.-P. Le, S.R. Sanders, E. Alon, Design techniques for fully integrated switched-capacitor dc–dc converters. JSSC 46(9), 2120–2131 (2011)
27.
go back to reference M.D. Seeman, S.R. Sanders, J.M. Rabaey, An ultra-low-power power management ic for energy-scavenged wireless sensor nodes, in Power Electronics Specialists Conference. (IEEE, 2008), pp. 925–931 M.D. Seeman, S.R. Sanders, J.M. Rabaey, An ultra-low-power power management ic for energy-scavenged wireless sensor nodes, in Power Electronics Specialists Conference. (IEEE, 2008), pp. 925–931
28.
go back to reference Y.K. Ramadass, A.P. Chandrakasan, Voltage scalable switched capacitor dc–dc converter for ultra-low-power on-chip applications, in PESC. (IEEE, 2007), pp. 2353–2359 Y.K. Ramadass, A.P. Chandrakasan, Voltage scalable switched capacitor dc–dc converter for ultra-low-power on-chip applications, in PESC. (IEEE, 2007), pp. 2353–2359
29.
go back to reference Y. Ramadass, A. Fayed, B. Haroun, A. Chandrakasan, A 0.16mm2 completely on-chip switched-capacitor dc–dc converter using digital capacitance modulation for ldo replacement in 45 nm cmos, in ISSCC. (2010), pp. 208–209 Y. Ramadass, A. Fayed, B. Haroun, A. Chandrakasan, A 0.16mm2 completely on-chip switched-capacitor dc–dc converter using digital capacitance modulation for ldo replacement in 45 nm cmos, in ISSCC. (2010), pp. 208–209
Metadata
Title
Reconfigurable, Switched-Capacitor Power Converter for IoT
Authors
Dima Kilani
Mohammad Alhawari
Baker Mohammad
Hani Saleh
Mohammed Ismail
Copyright Year
2019
DOI
https://doi.org/10.1007/978-3-319-93100-5_16