Skip to main content
Top

2018 | OriginalPaper | Chapter

4. Reliability and Energy-Aware Platform-Based Multiprocessor Design

Authors : Anup Kumar Das, Akash Kumar, Bharadwaj Veeravalli, Francky Catthoor

Published in: Reliable and Energy Efficient Streaming Multiprocessor Systems

Publisher: Springer International Publishing

Activate our intelligent search to find suitable subject content or patents.

search-config
loading …

Abstract

As discussed in Chapter 3, a significant research is conducted recently to investigate platform-based design approaches in order to mitigate wear-out and minimize energy consumption. These studies, however, suffer from two limitations:

Dont have a licence yet? Then find out more about our products and how to get one now:

Springer Professional "Wirtschaft+Technik"

Online-Abonnement

Mit Springer Professional "Wirtschaft+Technik" erhalten Sie Zugriff auf:

  • über 102.000 Bücher
  • über 537 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Maschinenbau + Werkstoffe
  • Versicherung + Risiko

Jetzt Wissensvorsprung sichern!

Springer Professional "Technik"

Online-Abonnement

Mit Springer Professional "Technik" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 390 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Maschinenbau + Werkstoffe




 

Jetzt Wissensvorsprung sichern!

Springer Professional "Wirtschaft"

Online-Abonnement

Mit Springer Professional "Wirtschaft" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 340 Zeitschriften

aus folgenden Fachgebieten:

  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Versicherung + Risiko




Jetzt Wissensvorsprung sichern!

Footnotes
1
Spatial division multiplexing-based NoC is assumed in this work and therefore, the leakage power consumed on the NoC is negligible [10].
 
2
The SDF3 tool generates one feasible actor distribution and the corresponding throughput. The MSDF3 tool is modified form of SDF3 that generates the schedule and throughput from a given actor distribution matrix.
 
Literature
1.
go back to reference M. Bao, A. Andrei, P. Eles, Z. Peng, Temperature-aware idle time distribution for energy optimization with dynamic voltage scaling, in Proceedings of the Conference on Design, Automation and Test in Europe (DATE) (European Design and Automation Association, 2010), pp. 21–26 M. Bao, A. Andrei, P. Eles, Z. Peng, Temperature-aware idle time distribution for energy optimization with dynamic voltage scaling, in Proceedings of the Conference on Design, Automation and Test in Europe (DATE) (European Design and Automation Association, 2010), pp. 21–26
2.
go back to reference D. Bertozzi, A. Jalabert, S. Murali, R. Tamhankar, S. Stergiou, L. Benini, G. De Micheli, NoC synthesis flow for customized domain specific multiprocessor systems-on-chip. IEEE Trans. Parallel Distrib. Syst. (TPDS) 16(2), 113–129 (2005) D. Bertozzi, A. Jalabert, S. Murali, R. Tamhankar, S. Stergiou, L. Benini, G. De Micheli, NoC synthesis flow for customized domain specific multiprocessor systems-on-chip. IEEE Trans. Parallel Distrib. Syst. (TPDS) 16(2), 113–129 (2005)
3.
go back to reference G. Coley, Beagleboard System Reference Manual (BeagleBoard.org, 2009), p. 81 G. Coley, Beagleboard System Reference Manual (BeagleBoard.org, 2009), p. 81
4.
go back to reference A. Das, A. Kumar, B. Veeravalli, Reliability-driven task mapping for lifetime extension of networks-on-chip based multiprocessor systems, in Proceedings of the Conference on Design, Automation and Test in Europe (DATE) (European Design and Automation Association, 2013), pp. 689–694 A. Das, A. Kumar, B. Veeravalli, Reliability-driven task mapping for lifetime extension of networks-on-chip based multiprocessor systems, in Proceedings of the Conference on Design, Automation and Test in Europe (DATE) (European Design and Automation Association, 2013), pp. 689–694
5.
go back to reference A. Das, A. Kumar, B. Veeravalli, Energy-aware task mapping and scheduling for reliable embedded computing systems. ACM Trans. Embed. Comput. Syst. (TECS) 13(2s), 72:1–72:27 (2014) A. Das, A. Kumar, B. Veeravalli, Energy-aware task mapping and scheduling for reliable embedded computing systems. ACM Trans. Embed. Comput. Syst. (TECS) 13(2s), 72:1–72:27 (2014)
6.
go back to reference A.S. Hartman, D.E. Thomas, B.H. Meyer, A case for lifetime-aware task mapping in embedded chip multiprocessors, in Proceedings of the Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS) (ACM, 2010), pp. 145–154 A.S. Hartman, D.E. Thomas, B.H. Meyer, A case for lifetime-aware task mapping in embedded chip multiprocessors, in Proceedings of the Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS) (ACM, 2010), pp. 145–154
7.
go back to reference J. Hu, R. Marculescu, Energy-aware communication and task scheduling for network-on-chip architectures under real-time constraints, in Proceedings of the Conference on Design, Automation and Test in Europe(DATE) (IEEE Computer Society, 2004), p. 10234 J. Hu, R. Marculescu, Energy-aware communication and task scheduling for network-on-chip architectures under real-time constraints, in Proceedings of the Conference on Design, Automation and Test in Europe(DATE) (IEEE Computer Society, 2004), p. 10234
8.
go back to reference L. Huang, Q. Xu, Energy-efficient task allocation and scheduling for multi-mode MPSoCs under lifetime reliability constraint, in Proceedings of the Conference on Design, Automation and Test in Europe (DATE) (European Design and Automation Association, 2010), pp. 1584–1589 L. Huang, Q. Xu, Energy-efficient task allocation and scheduling for multi-mode MPSoCs under lifetime reliability constraint, in Proceedings of the Conference on Design, Automation and Test in Europe (DATE) (European Design and Automation Association, 2010), pp. 1584–1589
9.
go back to reference L. Huang, F. Yuan, Q. Xu, On task allocation and scheduling for lifetime extension of platform-based MPSoC designs. IEEE Trans. Parallel Distrib. Syst. (TPDS) 22(12), pp. 2088–2099 (2011) L. Huang, F. Yuan, Q. Xu, On task allocation and scheduling for lifetime extension of platform-based MPSoC designs. IEEE Trans. Parallel Distrib. Syst. (TPDS) 22(12), pp. 2088–2099 (2011)
10.
go back to reference A. Leroy, D. Milojevic, D. Verkest, F. Robert, F. Catthoor, Concepts and implementation of spatial division multiplexing for guaranteed throughput in networks-on-chip. IEEE Trans. Comput. 57(9), 1182–1195 (2008)MathSciNetCrossRef A. Leroy, D. Milojevic, D. Verkest, F. Robert, F. Catthoor, Concepts and implementation of spatial division multiplexing for guaranteed throughput in networks-on-chip. IEEE Trans. Comput. 57(9), 1182–1195 (2008)MathSciNetCrossRef
11.
go back to reference W. Liao, L. He, K. Lepak, Temperature and supply voltage aware performance and power modeling at microarchitecture level. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. (TCAD) 24(7), 1042–1053 (2005) W. Liao, L. He, K. Lepak, Temperature and supply voltage aware performance and power modeling at microarchitecture level. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. (TCAD) 24(7), 1042–1053 (2005)
12.
go back to reference K. Skadron, M.R. Stan, K. Sankaranarayanan, W. Huang, S. Velusamy, D. Tarjan, Temperature-aware microarchitecture: modeling and implementation, ACM Trans. Archit. Code Optim. (TACO) 1(1), 94–125 (2004) K. Skadron, M.R. Stan, K. Sankaranarayanan, W. Huang, S. Velusamy, D. Tarjan, Temperature-aware microarchitecture: modeling and implementation, ACM Trans. Archit. Code Optim. (TACO) 1(1), 94–125 (2004)
13.
go back to reference S. Stuijk, M. Geilen, T. Basten, SDF3: SDF for free, in Proceedings of the International Conference on Application of Concurrency to System Design (ACSD) (IEEE Computer Society, 2006), pp. 276–278 S. Stuijk, M. Geilen, T. Basten, SDF3: SDF for free, in Proceedings of the International Conference on Application of Concurrency to System Design (ACSD) (IEEE Computer Society, 2006), pp. 276–278
14.
go back to reference I. Ukhov, M. Bao, P. Eles, Z. Peng, Steady-state dynamic temperature analysis and reliability optimization for embedded multiprocessor systems, in Proceeding of the Annual Design Automation Conference (DAC) (ACM, 2012), pp. 197–204 I. Ukhov, M. Bao, P. Eles, Z. Peng, Steady-state dynamic temperature analysis and reliability optimization for embedded multiprocessor systems, in Proceeding of the Annual Design Automation Conference (DAC) (ACM, 2012), pp. 197–204
15.
go back to reference W. Zhao, Y. Cao, Predictive technology model for nano-CMOS design exploration. ACM J. Emerg. Technol. Comput. Syst. (JETC) 3(1), (2007) W. Zhao, Y. Cao, Predictive technology model for nano-CMOS design exploration. ACM J. Emerg. Technol. Comput. Syst. (JETC) 3(1), (2007)
Metadata
Title
Reliability and Energy-Aware Platform-Based Multiprocessor Design
Authors
Anup Kumar Das
Akash Kumar
Bharadwaj Veeravalli
Francky Catthoor
Copyright Year
2018
DOI
https://doi.org/10.1007/978-3-319-69374-3_4