Skip to main content
Top
Published in: The Journal of Supercomputing 9/2018

21-06-2018

SAFT-PHENIC: a thermal-aware microring fault-resilient photonic NoC

Authors: Michael Meyer, Yuichi Okuyama, Abderazek Ben Abdallah

Published in: The Journal of Supercomputing | Issue 9/2018

Log in

Activate our intelligent search to find suitable subject content or patents.

search-config
loading …

Abstract

Photonic networks-on-chip are currently being researched by many different groups. It is believed that this technology will be the future of many-core computing thanks to their advantages in bandwidth, power efficiency, and propagation speed. Related research has mainly addressed network topology, router micro-architecture design, as well as performance and power optimization and analysis. However, the key optical device in PNoC systems, microring resonators (MRs) are very sensitive to temperature fluctuation and manufacturing errors. A single MR failure can cause messages to be misdelivered or lost, which results in bandwidth loss or even complete failure of the whole system. This can be caused by a change in just a few degrees Celsius. In this paper, we present a thermal-aware routing algorithm which attempts to combat the fluctuations in heat across a chip. We used a traffic and fault-aware algorithm which attempts to avoid using a single node too much and avoids it even more if faulty MRs are overtaking the circuit. This system showed a 38% reduction in the peak energy of the nodes in the photonic network. The system was also able to maintain functionality with minimal degradation up until 15% of MRs had failed and remained functional until 30% of MRs had failed.

Dont have a licence yet? Then find out more about our products and how to get one now:

Springer Professional "Wirtschaft"

Online-Abonnement

Mit Springer Professional "Wirtschaft" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 340 Zeitschriften

aus folgenden Fachgebieten:

  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Versicherung + Risiko




Jetzt Wissensvorsprung sichern!

Springer Professional "Technik"

Online-Abonnement

Mit Springer Professional "Technik" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 390 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Maschinenbau + Werkstoffe




 

Jetzt Wissensvorsprung sichern!

Springer Professional "Wirtschaft+Technik"

Online-Abonnement

Mit Springer Professional "Wirtschaft+Technik" erhalten Sie Zugriff auf:

  • über 102.000 Bücher
  • über 537 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Maschinenbau + Werkstoffe
  • Versicherung + Risiko

Jetzt Wissensvorsprung sichern!

Literature
2.
go back to reference Amatya R, Holzwarth CW, Gan F, Smith HI, Kärtner F, Ram RJ, Popovic MA (2007) Low power thermal tuning of second-order microring resonators. In: Conference on Lasers and Electro-Optics, Optical Society of America, p CFQ5 Amatya R, Holzwarth CW, Gan F, Smith HI, Kärtner F, Ram RJ, Popovic MA (2007) Low power thermal tuning of second-order microring resonators. In: Conference on Lasers and Electro-Optics, Optical Society of America, p CFQ5
4.
go back to reference Ahmed AB, Meyer M, Okuyama Y, Abdallah AB (2015) Efficient router architecture, design and performance exploration for many-core hybrid photonic network-on-chip (2d-phenic). In: 2nd International Conference on Information Science and Control Engineering (ICISCE), 2015, pp 202–206 Ahmed AB, Meyer M, Okuyama Y, Abdallah AB (2015) Efficient router architecture, design and performance exploration for many-core hybrid photonic network-on-chip (2d-phenic). In: 2nd International Conference on Information Science and Control Engineering (ICISCE), 2015, pp 202–206
5.
go back to reference Ahmed AB, Meyer M, Okuyama Y, Abdallah AB (2015) Hybrid photonic NoC based on non-blocking photonic switch and light-weight electronic router. In: IEEE International Conference on Systems, Man and Cybernetics (SMC), 2015 Ahmed AB, Meyer M, Okuyama Y, Abdallah AB (2015) Hybrid photonic NoC based on non-blocking photonic switch and light-weight electronic router. In: IEEE International Conference on Systems, Man and Cybernetics (SMC), 2015
6.
go back to reference Ahmed AB, Okuyama Y, Abdallah AB (2015) Contention-free routing for hybrid photonic mesh-based network-on-chip systems. In: 9th IEEE International Symposium on Embedded Multicore/Manycore SoCs (MCSoc), pp 235–242 Ahmed AB, Okuyama Y, Abdallah AB (2015) Contention-free routing for hybrid photonic mesh-based network-on-chip systems. In: 9th IEEE International Symposium on Embedded Multicore/Manycore SoCs (MCSoc), pp 235–242
7.
go back to reference Ahmed AB, Okuyama Y, Abdallah AB (2015) Non-blocking electro-optic network-on-chip router for high-throughput and low-power many-core systems. In: World Congress on Information Technology and Computer Applications, 2015 Ahmed AB, Okuyama Y, Abdallah AB (2015) Non-blocking electro-optic network-on-chip router for high-throughput and low-power many-core systems. In: World Congress on Information Technology and Computer Applications, 2015
8.
go back to reference Bogaerts W, De Heyn P, Van Vaerenbergh T, De Vos K, Kumar Selvaraja S, Claes T, Dumon P, Bienstman P, Van Thourhout D, Baets R (2012) Silicon microring resonators. Laser Photonics Rev 6(1):47–73CrossRef Bogaerts W, De Heyn P, Van Vaerenbergh T, De Vos K, Kumar Selvaraja S, Claes T, Dumon P, Bienstman P, Van Thourhout D, Baets R (2012) Silicon microring resonators. Laser Photonics Rev 6(1):47–73CrossRef
9.
go back to reference Buter W, Huang Y, Gregorek D, Garcia-Ortiz A (2015) A decentralised, autonomous, and congestion-aware thermal monitoring infrastructure for photonic network-on-chip. In: 10th International Symposium on Reconfigurable Communication-Centric Systems-on-Chip (ReCoSoC), 2015. IEEE, pp 1–8 Buter W, Huang Y, Gregorek D, Garcia-Ortiz A (2015) A decentralised, autonomous, and congestion-aware thermal monitoring infrastructure for photonic network-on-chip. In: 10th International Symposium on Reconfigurable Communication-Centric Systems-on-Chip (ReCoSoC), 2015. IEEE, pp 1–8
10.
go back to reference Chan J, Bergman K (2012) Photonic interconnection network architectures using wavelength-selective spatial routing for chip-scale communications. IEEE/OSA J Opt Commun Netw 4(3):189–201CrossRef Chan J, Bergman K (2012) Photonic interconnection network architectures using wavelength-selective spatial routing for chip-scale communications. IEEE/OSA J Opt Commun Netw 4(3):189–201CrossRef
11.
go back to reference Chan J, Hendry G, Biberman A, Bergman K, Carloni LP (2010) Phoenixsim: a simulator for physical-layer analysis of chip-scale photonic interconnection networks. In: Proceedings of the Conference on Design, Automation and Test in Europe, European Design and Automation Association, pp 691–696 Chan J, Hendry G, Biberman A, Bergman K, Carloni LP (2010) Phoenixsim: a simulator for physical-layer analysis of chip-scale photonic interconnection networks. In: Proceedings of the Conference on Design, Automation and Test in Europe, European Design and Automation Association, pp 691–696
13.
go back to reference Chittamuru SVR, Pasricha S (2015) Improving crosstalk resilience with wavelength spacing in photonic crossbar-based network-on-chip architectures. In: IEEE 58th International Midwest Symposium on Circuits and Systems (MWSCAS), 2015. IEEE, pp 1–4 Chittamuru SVR, Pasricha S (2015) Improving crosstalk resilience with wavelength spacing in photonic crossbar-based network-on-chip architectures. In: IEEE 58th International Midwest Symposium on Circuits and Systems (MWSCAS), 2015. IEEE, pp 1–4
14.
go back to reference Chu S, Pan W, Sato S, Kaneko T, Little B, Kokubun Y (1999) Wavelength trimming of a microring resonator filter by means of a UV sensitive polymer overlay. IEEE Photonics Technol Lett 11(6):688–690CrossRef Chu S, Pan W, Sato S, Kaneko T, Little B, Kokubun Y (1999) Wavelength trimming of a microring resonator filter by means of a UV sensitive polymer overlay. IEEE Photonics Technol Lett 11(6):688–690CrossRef
15.
go back to reference Demir Y, Hardavellas N (2015) Parka: thermally insulated nanophotonic interconnects. In: Proceedings of the 9th International Symposium on Networks-on-Chip. ACM, p 1 Demir Y, Hardavellas N (2015) Parka: thermally insulated nanophotonic interconnects. In: Proceedings of the 9th International Symposium on Networks-on-Chip. ACM, p 1
16.
go back to reference Grant M, Boyd S (2008) Graph implementations for nonsmooth convex programs. In: Blondel V, Boyd S, Kimura H (eds) Recent advances in learning and control, lecture notes in control and information sciences. Springer, Berlin, pp 95–110 Grant M, Boyd S (2008) Graph implementations for nonsmooth convex programs. In: Blondel V, Boyd S, Kimura H (eds) Recent advances in learning and control, lecture notes in control and information sciences. Springer, Berlin, pp 95–110
17.
go back to reference Guarino A, Poberaj G, Rezzonico D, Degl’Innocenti R, Günter P (2007) Electro-optically tunable microring resonators in lithium niobate. Nat Photonics 1(7):407–410CrossRef Guarino A, Poberaj G, Rezzonico D, Degl’Innocenti R, Günter P (2007) Electro-optically tunable microring resonators in lithium niobate. Nat Photonics 1(7):407–410CrossRef
19.
go back to reference Hu ZS, Hung FY, Chen KJ, Chang SJ, Hsieh WK, Liao TY (2013) Improvement in thermal degradation of zno photodetector by embedding silver oxide nanoparticles. Funct Mater Lett 6(01):1350,001CrossRef Hu ZS, Hung FY, Chen KJ, Chang SJ, Hsieh WK, Liao TY (2013) Improvement in thermal degradation of zno photodetector by embedding silver oxide nanoparticles. Funct Mater Lett 6(01):1350,001CrossRef
21.
go back to reference Kahng A, Li B, Peh LS, Samadi K (2012) Orion 2.0: a power-area simulator for interconnection networks. IEEE Trans Very Large Scale Integr (VLSI) Syst 20(1):191–196CrossRef Kahng A, Li B, Peh LS, Samadi K (2012) Orion 2.0: a power-area simulator for interconnection networks. IEEE Trans Very Large Scale Integr (VLSI) Syst 20(1):191–196CrossRef
22.
go back to reference Kaliraj PK (2013) Reliability-performance trade-offs in photonic NOC architectures. ProQuest Dissert Thes 64. Kaliraj PK (2013) Reliability-performance trade-offs in photonic NOC architectures. ProQuest Dissert Thes 64.
23.
go back to reference Kappeler R (2004) Radiation testing of micro photonic components Stagiaire Project Report. ESA/ESTEC. Ref. No. EWP 2263 Kappeler R (2004) Radiation testing of micro photonic components Stagiaire Project Report. ESA/ESTEC. Ref. No. EWP 2263
24.
go back to reference Kim DW, Barkai A, Jones R, Elek N, Nguyen H, Liu A (2008) Silicon-on-insulator eight-channel optical multiplexer based on a cascade of asymmetric Mach–Zehnder interferometers. Opt Lett 33(5):530–532CrossRef Kim DW, Barkai A, Jones R, Elek N, Nguyen H, Liu A (2008) Silicon-on-insulator eight-channel optical multiplexer based on a cascade of asymmetric Mach–Zehnder interferometers. Opt Lett 33(5):530–532CrossRef
25.
go back to reference Li H, Fourmigue A, Le Beux S, Letartre X, O’Connor I, Nicolescu G (2015) Thermal aware design method for vcsel-based on-chip optical interconnect. In: Proceedings of the 2015 Design, Automation and Test in Europe Conference and Exhibition. EDA Consortium, pp 1120–1125 Li H, Fourmigue A, Le Beux S, Letartre X, O’Connor I, Nicolescu G (2015) Thermal aware design method for vcsel-based on-chip optical interconnect. In: Proceedings of the 2015 Design, Automation and Test in Europe Conference and Exhibition. EDA Consortium, pp 1120–1125
26.
go back to reference Li Z, Mohamed M, Chen X, Dudley E, Meng K, Shang L, Mickelson AR, Joseph R, Vachharajani M, Schwartz B et al (2012) Reliability modeling and management of nanophotonic on-chip networks. IEEE Trans Very Large Scale Integr (VLSI) Syst 20(1):98–111CrossRef Li Z, Mohamed M, Chen X, Dudley E, Meng K, Shang L, Mickelson AR, Joseph R, Vachharajani M, Schwartz B et al (2012) Reliability modeling and management of nanophotonic on-chip networks. IEEE Trans Very Large Scale Integr (VLSI) Syst 20(1):98–111CrossRef
27.
go back to reference Meyer M, Ahmed AB, Okuyama Y, Abdallah AB (2015) Fttdor: microring fault-resilient optical router for reliable optical network-on-chip systems. In: IEEE 9th International Symposium on Embedded Multicore/Many-core Systems-on-Chip (MCSoC), 2015, pp 227–234. https://doi.org/10.1109/MCSoC.2015.17 Meyer M, Ahmed AB, Okuyama Y, Abdallah AB (2015) Fttdor: microring fault-resilient optical router for reliable optical network-on-chip systems. In: IEEE 9th International Symposium on Embedded Multicore/Many-core Systems-on-Chip (MCSoC), 2015, pp 227–234. https://​doi.​org/​10.​1109/​MCSoC.​2015.​17
28.
go back to reference Meyer M, Okuyama Y, Abdallah AB (2015) On the design of a fault-tolerant photonic network. In: IEEE International Conference on Systems, Man and Cybernetics (SMC), 2015, pp 821–826 Meyer M, Okuyama Y, Abdallah AB (2015) On the design of a fault-tolerant photonic network. In: IEEE International Conference on Systems, Man and Cybernetics (SMC), 2015, pp 821–826
30.
go back to reference Mohamed M (2013) Silicon nanophotonics for many-core on-chip networks. Ph.D. thesis, University of Colorado Mohamed M (2013) Silicon nanophotonics for many-core on-chip networks. Ph.D. thesis, University of Colorado
31.
go back to reference Nikdast M, Xu J (2014) On the impact of crosstalk noise in optical networks-on-chip. In: Design Automation Conference (DAC) Nikdast M, Xu J (2014) On the impact of crosstalk noise in optical networks-on-chip. In: Design Automation Conference (DAC)
32.
go back to reference Nikdast M, Xu J, Wu X, Zhang W, Ye Y, Wang X, Wang Z, Wang Z (2014) Systematic analysis of crosstalk noise in folded-torus-based optical networks-on-chip. IEEE Trans Comput Aided Des Integr Circuits Syst 33(3):437–450CrossRef Nikdast M, Xu J, Wu X, Zhang W, Ye Y, Wang X, Wang Z, Wang Z (2014) Systematic analysis of crosstalk noise in folded-torus-based optical networks-on-chip. IEEE Trans Comput Aided Des Integr Circuits Syst 33(3):437–450CrossRef
34.
37.
go back to reference Pan Y, Kumar P, Kim J, Memik G, Zhang Y, Choudhary A (2009) Firefly: illuminating future network-on-chip with nanophotonics. In: ACM SIGARCH Computer Architecture News, vol 37. ACM, pp 429–440 Pan Y, Kumar P, Kim J, Memik G, Zhang Y, Choudhary A (2009) Firefly: illuminating future network-on-chip with nanophotonics. In: ACM SIGARCH Computer Architecture News, vol 37. ACM, pp 429–440
40.
go back to reference Rafizadeh D, Zhang J, Hagness S, Taflove A, Stair K, Ho S, Tiberio R (1997) Temperature tuning of microcavity ring and disk resonators at 1.5-\(\upmu \) m. In: LEOS ’97 10th Annual Meeting Conference Proceedings on Lasers and Electro-Optics Society Annual Meeting, 1997, vol 2. IEEE, pp 162–163. https://doi.org/10.1109/LEOS.1997.645327 Rafizadeh D, Zhang J, Hagness S, Taflove A, Stair K, Ho S, Tiberio R (1997) Temperature tuning of microcavity ring and disk resonators at 1.5-\(\upmu \) m. In: LEOS ’97 10th Annual Meeting Conference Proceedings on Lasers and Electro-Optics Society Annual Meeting, 1997, vol 2. IEEE, pp 162–163. https://​doi.​org/​10.​1109/​LEOS.​1997.​645327
41.
go back to reference Tinati M, Karimi R, Koohi S, Hessabi S (2017) Topology exploration of a thermally resilient wavelength-based ONoC. J Parallel Distrib Comput 100:140–156 Tinati M, Karimi R, Koohi S, Hessabi S (2017) Topology exploration of a thermally resilient wavelength-based ONoC. J Parallel Distrib Comput 100:140–156
44.
go back to reference Ye Y, Wang Z, Yang P, Xu J, Wu X, Wang X, Nikdast M, Wang Z, Duong LH (2014) System-level modeling and analysis of thermal effects in wdm-based optical networks-on-chip. IEEE Trans Comput Aided Des Integr Circuits Syst 33(11):1718–1731CrossRef Ye Y, Wang Z, Yang P, Xu J, Wu X, Wang X, Nikdast M, Wang Z, Duong LH (2014) System-level modeling and analysis of thermal effects in wdm-based optical networks-on-chip. IEEE Trans Comput Aided Des Integr Circuits Syst 33(11):1718–1731CrossRef
Metadata
Title
SAFT-PHENIC: a thermal-aware microring fault-resilient photonic NoC
Authors
Michael Meyer
Yuichi Okuyama
Abderazek Ben Abdallah
Publication date
21-06-2018
Publisher
Springer US
Published in
The Journal of Supercomputing / Issue 9/2018
Print ISSN: 0920-8542
Electronic ISSN: 1573-0484
DOI
https://doi.org/10.1007/s11227-018-2463-x

Other articles of this Issue 9/2018

The Journal of Supercomputing 9/2018 Go to the issue

Premium Partner