Skip to main content
Top

2021 | OriginalPaper | Chapter

6. Security Assessment of High-Level Synthesis

Authors : M. Rafid Muttaki, Nitin Pundir, Mark Tehranipoor, Farimah Farahmandi

Published in: Emerging Topics in Hardware Security

Publisher: Springer International Publishing

Activate our intelligent search to find suitable subject content or patents.

search-config
loading …

Abstract

Securing intellectual property (IP) blocks have become a huge concern for the designers due to increasing attacks at different stages of the design. This wide array of attacks from IP piracy, counterfeiting, reverse engineering to overproduction has prompted designers to look into protection mechanisms to limit unauthorized access to the actual design. Logic locking/obfuscation is such a technique that protects designs from unauthorized usages by embedding locking keys into the design that are unknown to adversaries. However, the majority of the proposed locking techniques work at the gate-level of the design, and it has been shown that the correct keys can be successfully retrieved through various adversarial attacks such as SAT attacks, removal attacks, and reverse engineering. In this chapter, we propose a locking technique at a higher level of abstraction and show that using high-level synthesis, this technique is far more resilient towards different attacks and provides better control in terms of performance parameters to the designer compared to other techniques. The key element of this technique is locking the design at higher levels of abstractions (i.e., C/C++) when the designers have a better understanding of the design’s critical functions/information. In the next step, a high-level synthesis (HLS) tool is used to automatically generate locked RTL modules from an untimed C/C++ description. The proposed framework is dependent on HLS. As a result, design security also depends on a secure HLS process. For this purpose, we also provide a detailed security assessment on the HLS process and show potential vulnerabilities during its translation. We also present some verification approaches to address these vulnerabilities to secure the design and provide a robust framework for IP protection.

Dont have a licence yet? Then find out more about our products and how to get one now:

Springer Professional "Wirtschaft+Technik"

Online-Abonnement

Mit Springer Professional "Wirtschaft+Technik" erhalten Sie Zugriff auf:

  • über 102.000 Bücher
  • über 537 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Maschinenbau + Werkstoffe
  • Versicherung + Risiko

Jetzt Wissensvorsprung sichern!

Springer Professional "Technik"

Online-Abonnement

Mit Springer Professional "Technik" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 390 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Maschinenbau + Werkstoffe




 

Jetzt Wissensvorsprung sichern!

Springer Professional "Wirtschaft"

Online-Abonnement

Mit Springer Professional "Wirtschaft" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 340 Zeitschriften

aus folgenden Fachgebieten:

  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Versicherung + Risiko




Jetzt Wissensvorsprung sichern!

Literature
1.
go back to reference M. Tehranipoor, M. Yilmaz, K. Chakrabarty, Test-pattern selection for screening small-delay defects in very-deep submicrometer integrated circuits. IEEE Trans. Comput. Aided Des. Integr. Circ. Syst. 29(5), 760–773 (2010)CrossRef M. Tehranipoor, M. Yilmaz, K. Chakrabarty, Test-pattern selection for screening small-delay defects in very-deep submicrometer integrated circuits. IEEE Trans. Comput. Aided Des. Integr. Circ. Syst. 29(5), 760–773 (2010)CrossRef
2.
go back to reference X. Zhang, M. Tehranipoor, H. Salmani, Integrated Circuit Authentication (Springer, Cham, 2014) X. Zhang, M. Tehranipoor, H. Salmani, Integrated Circuit Authentication (Springer, Cham, 2014)
4.
go back to reference M. Rostami, F. Koushanfar, R. Karri, A primer on hardware security: models, methods, and metrics. Proc. IEEE 102(8), 1283–1295 (2014)CrossRef M. Rostami, F. Koushanfar, R. Karri, A primer on hardware security: models, methods, and metrics. Proc. IEEE 102(8), 1283–1295 (2014)CrossRef
5.
go back to reference X. Wang, M. Tehranipoor, D. Zhang, M. He, Dynamically obfuscated scan for protecting IPs against scan-based attacks throughout supply chain, in IEEE 35th VLSI Test Symposium (VTS) (2017) X. Wang, M. Tehranipoor, D. Zhang, M. He, Dynamically obfuscated scan for protecting IPs against scan-based attacks throughout supply chain, in IEEE 35th VLSI Test Symposium (VTS) (2017)
6.
go back to reference Q. Shi, G.K. Contreras, M. Tehranipoor, M.T. Rahman, D. Forte, CSST: Preventing distribution of unlicensed and rejected ICs by untrusted foundry and assembly, in IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems (DFT) (2014), pp. 46–51 Q. Shi, G.K. Contreras, M. Tehranipoor, M.T. Rahman, D. Forte, CSST: Preventing distribution of unlicensed and rejected ICs by untrusted foundry and assembly, in IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems (DFT) (2014), pp. 46–51
7.
go back to reference X. Wang, D. Zhang, M. He, D. Su, M. Tehranipoor, Secure scan and test using obfuscation throughout supply chain. IEEE Trans. Comput. Aided Des. Integr. Circ. Syst. 37(6), 1867–1880 (2017) X. Wang, D. Zhang, M. He, D. Su, M. Tehranipoor, Secure scan and test using obfuscation throughout supply chain. IEEE Trans. Comput. Aided Des. Integr. Circ. Syst. 37(6), 1867–1880 (2017)
8.
go back to reference M. Tehranipoor, F. Koushanfar, A survey of hardware trojan taxonomy and detection. IEEE Des. Test Comput. 27(1), 10–25 (2010)CrossRef M. Tehranipoor, F. Koushanfar, A survey of hardware trojan taxonomy and detection. IEEE Des. Test Comput. 27(1), 10–25 (2010)CrossRef
9.
go back to reference An experimental analysis of power and delay signal-to-noise requirements for detecting trojans and methods for achieving the required detection sensitivities. An experimental analysis of power and delay signal-to-noise requirements for detecting trojans and methods for achieving the required detection sensitivities.
10.
go back to reference M. Tehranipoor, M. Li, A. Davoodi, A sensor-assisted self-authentication framework for hardware trojan detection, in Design, Automation & Test in Europe Conference & Exhibition (DATE) (2012), pp. 1331–1336 M. Tehranipoor, M. Li, A. Davoodi, A sensor-assisted self-authentication framework for hardware trojan detection, in Design, Automation & Test in Europe Conference & Exhibition (DATE) (2012), pp. 1331–1336
11.
go back to reference K. Xiao, D. Forte, M. Tehranipoor, Efficient and secure split manufacturing via obfuscated built-in self-authentication, in IEEE International Symposium on Hardware Oriented Security and Trust (HOST) (2015), pp. 14–19 K. Xiao, D. Forte, M. Tehranipoor, Efficient and secure split manufacturing via obfuscated built-in self-authentication, in IEEE International Symposium on Hardware Oriented Security and Trust (HOST) (2015), pp. 14–19
12.
go back to reference H. Salmani, M. Tehranipoor, J. Plusquellic, A layout-aware approach for improving localized switching to detect hardware Trojans in integrated circuits, in IEEE International Workshop on Information Forensics and Security (2010) H. Salmani, M. Tehranipoor, J. Plusquellic, A layout-aware approach for improving localized switching to detect hardware Trojans in integrated circuits, in IEEE International Workshop on Information Forensics and Security (2010)
13.
go back to reference U. Guin, K. Huang, D. DiMase, J.M. Carulli, M. Tehranipoor, Y. Makris, Counterfeit integrated circuits: A rising threat in the global semiconductor supply chain. Proc. IEEE 102(8), 1207–1228 (2014)CrossRef U. Guin, K. Huang, D. DiMase, J.M. Carulli, M. Tehranipoor, Y. Makris, Counterfeit integrated circuits: A rising threat in the global semiconductor supply chain. Proc. IEEE 102(8), 1207–1228 (2014)CrossRef
14.
go back to reference X. Zhang, M. Tehranipoor, Design of on-chip lightweight sensors for effective detection of recycled ICs. IEEE Trans. Very Large Scale Integr. (VLSI) Syst. 22(5), 1016-1029 (2013) X. Zhang, M. Tehranipoor, Design of on-chip lightweight sensors for effective detection of recycled ICs. IEEE Trans. Very Large Scale Integr. (VLSI) Syst. 22(5), 1016-1029 (2013)
15.
go back to reference U. Guin, X. Zhang, D. Forte, M. Tehranipoor, Low-cost on-chip structures for combating die and IC recycling, in 2014 51st ACM/EDAC/IEEE Design Automation Conference (DAC) (2014) U. Guin, X. Zhang, D. Forte, M. Tehranipoor, Low-cost on-chip structures for combating die and IC recycling, in 2014 51st ACM/EDAC/IEEE Design Automation Conference (DAC) (2014)
16.
go back to reference N. Tuzzio, K. Xiao, X. Zhang, M. Tehranipoor, A zero-overhead IC identification technique using clock sweeping and path delay analysis, in Proceedings of the Great Lakes Symposium on VLSI, pp. 95–98 (2012) N. Tuzzio, K. Xiao, X. Zhang, M. Tehranipoor, A zero-overhead IC identification technique using clock sweeping and path delay analysis, in Proceedings of the Great Lakes Symposium on VLSI, pp. 95–98 (2012)
17.
go back to reference R. Torrance, D. James, The state-of-the-art in IC reverse engineering, in Cryptographic Hardware and Embedded Systems - CHES 2009, ed. by C. Clavier, K. Gaj (Springer, Berlin, 2009), pp. 363–381CrossRef R. Torrance, D. James, The state-of-the-art in IC reverse engineering, in Cryptographic Hardware and Embedded Systems - CHES 2009, ed. by C. Clavier, K. Gaj (Springer, Berlin, 2009), pp. 363–381CrossRef
18.
go back to reference P. Subramanyan, S. Ray, S. Malik, Evaluating the security of logic encryption algorithms, in 2015 IEEE International Symposium on Hardware Oriented Security and Trust (HOST) (2015), pp. 137–143 P. Subramanyan, S. Ray, S. Malik, Evaluating the security of logic encryption algorithms, in 2015 IEEE International Symposium on Hardware Oriented Security and Trust (HOST) (2015), pp. 137–143
19.
go back to reference M. Yasin, B. Mazumdar, O. Sinanoglu, J. Rajendran, Removal attacks on logic locking and camouflaging techniques. IEEE Trans. Emerg. Top. Comput. (2017) M. Yasin, B. Mazumdar, O. Sinanoglu, J. Rajendran, Removal attacks on logic locking and camouflaging techniques. IEEE Trans. Emerg. Top. Comput. (2017)
20.
go back to reference P. Chakraborty, J. Cruz, S. Bhunia, Sail: Machine learning guided structural analysis attack on hardware obfuscation, in 2018 Asian Hardware Oriented Security and Trust Symposium (AsianHOST) (IEEE, Piscataway, 2018), pp. 56–61CrossRef P. Chakraborty, J. Cruz, S. Bhunia, Sail: Machine learning guided structural analysis attack on hardware obfuscation, in 2018 Asian Hardware Oriented Security and Trust Symposium (AsianHOST) (IEEE, Piscataway, 2018), pp. 56–61CrossRef
22.
go back to reference P. Coussy, D.D Gajski, M. Meredith, A. Takach, An introduction to high-level synthesis. IEEE Des. Test Comput. 26(4), 8–17 (2009) P. Coussy, D.D Gajski, M. Meredith, A. Takach, An introduction to high-level synthesis. IEEE Des. Test Comput. 26(4), 8–17 (2009)
23.
go back to reference Y.-W. Lee, N.A. Touba, Improving logic obfuscation via logic cone analysis, in 2015 16th Latin-American Test Symposium (LATS) (IEEE, Piscataway, 2015), pp. 1–6 Y.-W. Lee, N.A. Touba, Improving logic obfuscation via logic cone analysis, in 2015 16th Latin-American Test Symposium (LATS) (IEEE, Piscataway, 2015), pp. 1–6
24.
go back to reference Y. Xie, A. Srivastava, Anti-sat: Mitigating sat attack on logic locking. IEEE Trans. Comput. Aided Des. Integr. Circ. Syst. 38(2), 199–207 (2019)CrossRef Y. Xie, A. Srivastava, Anti-sat: Mitigating sat attack on logic locking. IEEE Trans. Comput. Aided Des. Integr. Circ. Syst. 38(2), 199–207 (2019)CrossRef
25.
go back to reference P. Chakraborty, J. Cruz, S. Bhunia, Sail: Machine learning guided structural analysis attack on hardware obfuscation, in 2018 Asian Hardware Oriented Security and Trust Symposium (AsianHOST) (2018), pp. 56–61 P. Chakraborty, J. Cruz, S. Bhunia, Sail: Machine learning guided structural analysis attack on hardware obfuscation, in 2018 Asian Hardware Oriented Security and Trust Symposium (AsianHOST) (2018), pp. 56–61
26.
go back to reference J. Rajendran, A. Ali, O. Sinanoglu, R. Karri, Belling the cad: toward security-centric electronic system design. IEEE Trans. Comput. Aided Des. Integr. Circ. Syst. 34(11), 1756–1769 (2015)CrossRef J. Rajendran, A. Ali, O. Sinanoglu, R. Karri, Belling the cad: toward security-centric electronic system design. IEEE Trans. Comput. Aided Des. Integr. Circ. Syst. 34(11), 1756–1769 (2015)CrossRef
27.
go back to reference R.S. Chakraborty, S. Bhunia, RTL hardware IP protection using key-based control and data flow obfuscation, in 2010 23rd International Conference on VLSI Design (2010), pp. 405–410 R.S. Chakraborty, S. Bhunia, RTL hardware IP protection using key-based control and data flow obfuscation, in 2010 23rd International Conference on VLSI Design (2010), pp. 405–410
28.
go back to reference Y. Lao, K.K. Parhi, Obfuscating DSP circuits via high-level transformations. IEEE Trans. Very Large Scale Integr. (VLSI) systems 23(5), 819–830 (2014) Y. Lao, K.K. Parhi, Obfuscating DSP circuits via high-level transformations. IEEE Trans. Very Large Scale Integr. (VLSI) systems 23(5), 819–830 (2014)
29.
go back to reference C. Pilato, F. Regazzoni, R. Karri, S. Garg, Tao: techniques for algorithm-level obfuscation during high-level synthesis, in Proceedings of the 55th Annual Design Automation Conference (2018), pp. 1–6 C. Pilato, F. Regazzoni, R. Karri, S. Garg, Tao: techniques for algorithm-level obfuscation during high-level synthesis, in Proceedings of the 55th Annual Design Automation Conference (2018), pp. 1–6
30.
go back to reference P. Chakraborty, J. Cruz, S. Bhunia, Surf: Joint structural functional attack on logic locking, in 2019 IEEE International Symposium on Hardware Oriented Security and Trust (HOST) (2019), pp. 181–190 P. Chakraborty, J. Cruz, S. Bhunia, Surf: Joint structural functional attack on logic locking, in 2019 IEEE International Symposium on Hardware Oriented Security and Trust (HOST) (2019), pp. 181–190
31.
go back to reference J. Rajendran, Y. Pino, O. Sinanoglu, R. Karri, Security analysis of logic obfuscation, in Proceedings of the 49th Annual Design Automation Conference (2012), pp. 83–89 J. Rajendran, Y. Pino, O. Sinanoglu, R. Karri, Security analysis of logic obfuscation, in Proceedings of the 49th Annual Design Automation Conference (2012), pp. 83–89
32.
go back to reference B. Shakya, X. Xu, M. Tehranipoor, D. Forte, Cas-lock: a security-corruptibility trade-off resilient logic locking scheme. IACR Trans. Cryptogr. Hardw. Embed. Syst. 2020, 175–202 (2020) B. Shakya, X. Xu, M. Tehranipoor, D. Forte, Cas-lock: a security-corruptibility trade-off resilient logic locking scheme. IACR Trans. Cryptogr. Hardw. Embed. Syst. 2020, 175–202 (2020)
33.
go back to reference J.A. Roy, F. Koushanfar, I.L. Markov, Epic: Ending piracy of integrated circuits, in 2008 Design, Automation and Test in Europe, pp. 1069–1074 (2008) J.A. Roy, F. Koushanfar, I.L. Markov, Epic: Ending piracy of integrated circuits, in 2008 Design, Automation and Test in Europe, pp. 1069–1074 (2008)
34.
go back to reference J. Rajendran, H. Zhang, C. Zhang, G.S. Rose, Y. Pino, O. Sinanoglu, R. Karri, Fault analysis-based logic encryption. IEEE Trans. Comput. 64(2), 410–424 (2013)MathSciNetCrossRef J. Rajendran, H. Zhang, C. Zhang, G.S. Rose, Y. Pino, O. Sinanoglu, R. Karri, Fault analysis-based logic encryption. IEEE Trans. Comput. 64(2), 410–424 (2013)MathSciNetCrossRef
35.
go back to reference S. Dupuis, P.-S. Ba, G. Di Natale, M.-L. Flottes, B. Rouzeyre, A novel hardware logic encryption technique for thwarting illegal overproduction and hardware trojans, in 2014 IEEE 20th International On-Line Testing Symposium (IOLTS) (IEEE, Piscataway, 2014), pp. 49–54 S. Dupuis, P.-S. Ba, G. Di Natale, M.-L. Flottes, B. Rouzeyre, A novel hardware logic encryption technique for thwarting illegal overproduction and hardware trojans, in 2014 IEEE 20th International On-Line Testing Symposium (IOLTS) (IEEE, Piscataway, 2014), pp. 49–54
36.
go back to reference K. Yang, Y. Jin, D. Forte, M. Tehranipoor, A. Nahiyan, K. Xiao, AVFSM: a framework for identifying and mitigating vulnerabilities in FSMs, in Proceedings of the 53rd Annual Design Automation Conference (2016) K. Yang, Y. Jin, D. Forte, M. Tehranipoor, A. Nahiyan, K. Xiao, AVFSM: a framework for identifying and mitigating vulnerabilities in FSMs, in Proceedings of the 53rd Annual Design Automation Conference (2016)
37.
go back to reference J.G. Steiner, B.C. Neuman, J.I. Schiller, Kerberos: an authentication service for open network systems, in Usenix Winter (Citeseer, 1988), pp. 191–202 J.G. Steiner, B.C. Neuman, J.I. Schiller, Kerberos: an authentication service for open network systems, in Usenix Winter (Citeseer, 1988), pp. 191–202
38.
go back to reference Y. Hara-Azumi, T. Matsuba, H. Tomiyama, S. Honda, H. Takada, Impact of resource sharing and register retiming on area and performance of FPGA-based designs. Inf. Media Technol. 9(1), 26–34 (2014) Y. Hara-Azumi, T. Matsuba, H. Tomiyama, S. Honda, H. Takada, Impact of resource sharing and register retiming on area and performance of FPGA-based designs. Inf. Media Technol. 9(1), 26–34 (2014)
Metadata
Title
Security Assessment of High-Level Synthesis
Authors
M. Rafid Muttaki
Nitin Pundir
Mark Tehranipoor
Farimah Farahmandi
Copyright Year
2021
DOI
https://doi.org/10.1007/978-3-030-64448-2_6