Skip to main content
Top

2017 | OriginalPaper | Chapter

3. Spin Orbit Torque MRAM

Authors : Brajesh Kumar Kaushik, Shivam Verma, Anant Aravind Kulkarni, Sanjay Prajapati

Published in: Next Generation Spin Torque Memories

Publisher: Springer Singapore

Activate our intelligent search to find suitable subject content or patents.

search-config
loading …

Abstract

The STT (spin-transfer torque) has emerged as a promising memory technology to provide energy efficient, non-volatile, high density memories with low power dissipation and unlimited endurance. In addition, it offers CMOS compatible architectures with high-speed read and write operations. During the initial phase of the development, researchers envisaged the greater potential of the STT based magnetic random access memory (MRAM) to become an alternate solution of the contemporary memory technologies.

Dont have a licence yet? Then find out more about our products and how to get one now:

Springer Professional "Wirtschaft+Technik"

Online-Abonnement

Mit Springer Professional "Wirtschaft+Technik" erhalten Sie Zugriff auf:

  • über 102.000 Bücher
  • über 537 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Maschinenbau + Werkstoffe
  • Versicherung + Risiko

Jetzt Wissensvorsprung sichern!

Springer Professional "Technik"

Online-Abonnement

Mit Springer Professional "Technik" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 390 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Maschinenbau + Werkstoffe




 

Jetzt Wissensvorsprung sichern!

Literature
1.
go back to reference K. L. Wang, J. G. Alzate, and P. K. Amiri, “Low-power non-volatile spintronic memory: STT-RAM and beyond,” J. Phys. D, Appl. Phys., vol. 46, no. 7, p. 074003, 2013. K. L. Wang, J. G. Alzate, and P. K. Amiri, “Low-power non-volatile spintronic memory: STT-RAM and beyond,” J. Phys. D, Appl. Phys., vol. 46, no. 7, p. 074003, 2013.
3.
go back to reference H. Yu, Y. Wang. Design exploration of emerging nano-scale non-volatile memory. Springer, 2015, ch. 1. H. Yu, Y. Wang. Design exploration of emerging nano-scale non-volatile memory. Springer, 2015, ch. 1.
4.
go back to reference Y. Huai, “Spin-transfer torque MRAM (STT-MRAM): challenges and prospects,” AAPPS Bulletin, vol. 18, no. 6, pp. 33–40, 2008. Y. Huai, “Spin-transfer torque MRAM (STT-MRAM): challenges and prospects,” AAPPS Bulletin, vol. 18, no. 6, pp. 33–40, 2008.
5.
go back to reference S. Ikeda, K. Miura, H. Yamamoto, K. Mizunuma, H. D. Gan, M. Endo, S. Kanai, J. Hayakawa, F. Matsukura, and H. Ohno, “A perpendicular-anisotropy CoFeB–MgO magnetic tunnel junction,” Nat. Mat., vol. 9, pp. 721–724, Jul. 2010. S. Ikeda, K. Miura, H. Yamamoto, K. Mizunuma, H. D. Gan, M. Endo, S. Kanai, J. Hayakawa, F. Matsukura, and H. Ohno, “A perpendicular-anisotropy CoFeB–MgO magnetic tunnel junction,” Nat. Mat., vol. 9, pp. 721–724, Jul. 2010.
6.
go back to reference R. Bishnoi, M. Ebrahimi, F. Oboril, and M. B. Tahoori, “Architectural aspects in design and analysis of SOT-based memories,” IEEE Proc. Asia South Pac. Des. Autom. Conf. ASP-DAC, Singapore, pp. 700–707, 2014. R. Bishnoi, M. Ebrahimi, F. Oboril, and M. B. Tahoori, “Architectural aspects in design and analysis of SOT-based memories,” IEEE Proc. Asia South Pac. Des. Autom. Conf. ASP-DAC, Singapore, pp. 700–707, 2014.
7.
go back to reference Y. Kim, S. Member, X. Fong, K. Kwon, M. Chen, and K. Roy, “Multilevel spin-orbit torque MRAMs,” IEEE Trans. on Elect. Dev., vol. 62, no. 2, pp. 561–568, 2015. Y. Kim, S. Member, X. Fong, K. Kwon, M. Chen, and K. Roy, “Multilevel spin-orbit torque MRAMs,” IEEE Trans. on Elect. Dev., vol. 62, no. 2, pp. 561–568, 2015.
8.
go back to reference F. Oboril, R. Bishnoi, M. Ebrahimi, M. Tahoori, G. Di Pendina, K. Jabeur, and G. Prenat, “Spin orbit torque memory for non-volatile microprocessor caches,”, Proc. 1st Int. Work. Emer. Mem. Sol. Conf. DATE, Dresden, pp. 1–4, 2016. F. Oboril, R. Bishnoi, M. Ebrahimi, M. Tahoori, G. Di Pendina, K. Jabeur, and G. Prenat, “Spin orbit torque memory for non-volatile microprocessor caches,”, Proc. 1st Int. Work. Emer. Mem. Sol. Conf. DATE, Dresden, pp. 1–4, 2016.
9.
go back to reference S. Manipatruni, D. E. Nikonov, and I. A. Young, “Voltage and energy-delay performance of giant spin hall effect switching for magnetic memory and logic,” Arxiv, vol. 103001, pp. 1–16, 2013. S. Manipatruni, D. E. Nikonov, and I. A. Young, “Voltage and energy-delay performance of giant spin hall effect switching for magnetic memory and logic,” Arxiv, vol. 103001, pp. 1–16, 2013.
10.
go back to reference G. Prenat, K. Jabeur, P. Vanhauwaert, G. Di Pendina, F. Oboril, R. Bishnoi, M. Ebrahimi, N. Lamard, O. Boulle, K. Garello, J. Langer, B. Ocker, M. C. Cyrille, P. Gambardella, M. Tahoori, and G. Gaudin, “Ultra-fast and high-reliability SOT-MRAM: From cache replacement to normally-off computing,” IEEE Trans. Mul. Compu. Sys., vol. 2, no. 1, pp. 49–60, 2016. G. Prenat, K. Jabeur, P. Vanhauwaert, G. Di Pendina, F. Oboril, R. Bishnoi, M. Ebrahimi, N. Lamard, O. Boulle, K. Garello, J. Langer, B. Ocker, M. C. Cyrille, P. Gambardella, M. Tahoori, and G. Gaudin, “Ultra-fast and high-reliability SOT-MRAM: From cache replacement to normally-off computing,” IEEE Trans. Mul. Compu. Sys., vol. 2, no. 1, pp. 49–60, 2016.
11.
go back to reference R. Bishnoi, F. Oboril, and M. B. Tahoori, “Low-power multi-port memory architecture based on spin orbit torque magnetic devices,” Proc. 26th Ed. Gt. Lakes Symp. VLSI, pp. 409–414, 2016. R. Bishnoi, F. Oboril, and M. B. Tahoori, “Low-power multi-port memory architecture based on spin orbit torque magnetic devices,” Proc. 26th Ed. Gt. Lakes Symp. VLSI, pp. 409–414, 2016.
12.
go back to reference K. Jabeur, G. Di Pendina, G. Prenat, L. Buda-Prejbeanu, and B. Dieny, “Compact modeling of a magnetic tunnel junction based on spin orbit torque,” IEEE Trans. on Magn., vol. 50, no. 99, p. 1, 2014. K. Jabeur, G. Di Pendina, G. Prenat, L. Buda-Prejbeanu, and B. Dieny, “Compact modeling of a magnetic tunnel junction based on spin orbit torque,” IEEE Trans. on Magn., vol. 50, no. 99, p. 1, 2014.
13.
go back to reference G. D. Panagopoulos, C. Augustine, and K. Roy, “Physics-based SPICE-compatible compact model for simulating hybrid MTJ/CMOS circuits,” IEEE Trans. on Elect. Dev., vol. 60, no. 9, pp. 2808–2814, 2013. G. D. Panagopoulos, C. Augustine, and K. Roy, “Physics-based SPICE-compatible compact model for simulating hybrid MTJ/CMOS circuits,” IEEE Trans. on Elect. Dev., vol. 60, no. 9, pp. 2808–2814, 2013.
14.
go back to reference X. Fong, Y. Kim, K. Yogendra, D. Fan, A. Sengupta, A. Raghunathan, and K. Roy, “Spin-transfer torque devices for logic and memory: Prospects and perspectives,” IEEE Trans. Compu. Des. Inte. Cir. Sys., vol. 35, no. 1, pp. 1–22, 2016. X. Fong, Y. Kim, K. Yogendra, D. Fan, A. Sengupta, A. Raghunathan, and K. Roy, “Spin-transfer torque devices for logic and memory: Prospects and perspectives,” IEEE Trans. Compu. Des. Inte. Cir. Sys., vol. 35, no. 1, pp. 1–22, 2016.
Metadata
Title
Spin Orbit Torque MRAM
Authors
Brajesh Kumar Kaushik
Shivam Verma
Anant Aravind Kulkarni
Sanjay Prajapati
Copyright Year
2017
Publisher
Springer Singapore
DOI
https://doi.org/10.1007/978-981-10-2720-8_3