Skip to main content
Top

2020 | OriginalPaper | Chapter

2. System Scenario Methodology Flow

Author : Francky Catthoor

Published in: System-Scenario-based Design Principles and Applications

Publisher: Springer International Publishing

Activate our intelligent search to find suitable subject content or patents.

search-config
loading …

Abstract

In the past decade, real-time embedded systems have become much more complex due to the introduction of a lot of new functionality in one application, and due to running multiple applications concurrently. This increases the dynamic nature of today’s applications and systems, and tightens the requirements for their constraints in terms of deadlines and energy consumption. State-of-the-art design methodologies try to cope with these novel issues by identifying several most used cases and dealing with them separately, reducing the newly introduced complexity. This chapter presents a generic and systematic design-time/run-time methodology for handling the dynamic nature of modern embedded systems, which can be utilized by existing design methodologies to increase their efficiency. It is based on the concept of system scenarios, which group system behaviors that are similar from a multi-dimensional implementation trade-off cost perspective, in such a way that the final system mapping can be configured to exploit this cost similarity. Important examples of such trade-offs are such as delay/latency, throughput, resource allocation, and energy/power consumption. Obviously, also any applicable design restrictions should be incorporated as boundary constraints for this trade-off exploration and the grouping.At design-time, these system scenarios are individually optimized. Mechanisms for predicting the current scenario at run-time and for switching between scenarios are also derived. This design trajectory is augmented with a run-time calibration mechanism, which allows the system to learn on-the-fly during its execution, and to adapt itself to the current input stimuli, by extending the scenario set, changing the scenario definitions, and both the prediction and switching mechanisms. To show the broad applicability of our methodology, the rest of the book illustrates how it has been applied for many different real-life design problems with widely different characteristics and requirements. In all presented case studies, substantial system implementation cost trade-offs have been obtained by exploiting the system scenario methodology. In order to have an illustration also in this chapter, a running case study based on control variable system scenarios is integrated here already.

Dont have a licence yet? Then find out more about our products and how to get one now:

Springer Professional "Wirtschaft+Technik"

Online-Abonnement

Mit Springer Professional "Wirtschaft+Technik" erhalten Sie Zugriff auf:

  • über 102.000 Bücher
  • über 537 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Maschinenbau + Werkstoffe
  • Versicherung + Risiko

Jetzt Wissensvorsprung sichern!

Springer Professional "Technik"

Online-Abonnement

Mit Springer Professional "Technik" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 390 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Maschinenbau + Werkstoffe




 

Jetzt Wissensvorsprung sichern!

Literature
1.
go back to reference M. Arenaz, J. Tourino, R. Doallo, An inspector-executor algorithm for irregular assignment parallelization, in 2nd International Symposium on Parallel and Distributed Processing and Applications (ISPA 2004), Hong Kong (2004), pp. 4–15 M. Arenaz, J. Tourino, R. Doallo, An inspector-executor algorithm for irregular assignment parallelization, in 2nd International Symposium on Parallel and Distributed Processing and Applications (ISPA 2004), Hong Kong (2004), pp. 4–15
2.
go back to reference M. Baka, F. Catthoor, D. Soudris, Proposed evaluation framework for exploration of smart PV module topologies, in Proceedings of European Photovoltaic Solar Energy Conference (PVSEC), poster presentation 1BV.5.36, Munich (2016), pp. 176–179 M. Baka, F. Catthoor, D. Soudris, Proposed evaluation framework for exploration of smart PV module topologies, in Proceedings of European Photovoltaic Solar Energy Conference (PVSEC), poster presentation 1BV.5.36, Munich (2016), pp. 176–179
3.
go back to reference B. Bougard, S. Pollin, F. Catthoor, W. Dehaene, Cross-layer power management in wireless networks and consequences on system-level architecture. Signal Process. J. 86(8), 1792–1803 (2006)CrossRef B. Bougard, S. Pollin, F. Catthoor, W. Dehaene, Cross-layer power management in wireless networks and consequences on system-level architecture. Signal Process. J. 86(8), 1792–1803 (2006)CrossRef
4.
go back to reference T. Burd, T. Pering, A. Stratakos, R. Brodersen, A dynamic voltage scaled microprocessor system. IEEE J. Solid-State Circuits SC-35(11), 1571–1580 (2000)CrossRef T. Burd, T. Pering, A. Stratakos, R. Brodersen, A dynamic voltage scaled microprocessor system. IEEE J. Solid-State Circuits SC-35(11), 1571–1580 (2000)CrossRef
5.
go back to reference M. Calzarossa, G. Serazzi, Workload characterization: a survey. Proc. IEEE 81(8), 1136–1150 (1993)CrossRef M. Calzarossa, G. Serazzi, Workload characterization: a survey. Proc. IEEE 81(8), 1136–1150 (1993)CrossRef
6.
go back to reference J.M. Carroll, (ed.), Scenario-Based Design: Envisioning Work and Technology in System Development (Wiley, New York, 1995) J.M. Carroll, (ed.), Scenario-Based Design: Envisioning Work and Technology in System Development (Wiley, New York, 1995)
7.
go back to reference F. Catthoor, (ed.), Unified Low-Power Design Flow for Data-Dominated Multi-Media and Telecom Applications (Kluwer Academic Publishers, Boston, 2000). ISBN 0-7923-7947-0 F. Catthoor, (ed.), Unified Low-Power Design Flow for Data-Dominated Multi-Media and Telecom Applications (Kluwer Academic Publishers, Boston, 2000). ISBN 0-7923-7947-0
8.
go back to reference K. Choi, K. Dantu, W.-C. Cheng, M. Pedram, Frame-based dynamic voltage and frequency scaling for a MPEG decoder, in Proceedings of IEEE/ACM International Conference on Computer-Aided Design (ICCAD) (ACM Press, New York, 2002), pp. 732–737 K. Choi, K. Dantu, W.-C. Cheng, M. Pedram, Frame-based dynamic voltage and frequency scaling for a MPEG decoder, in Proceedings of IEEE/ACM International Conference on Computer-Aided Design (ICCAD) (ACM Press, New York, 2002), pp. 732–737
9.
go back to reference E.-Y. Chung, L. Benini, G. De Micheli, Contents provider-assisted dynamic voltage scaling for low energy multimedia applications, in Proceedings of IEEE International Symposium on Low Power Design (ISLPED), Monterey (2002), pp. 42–47 E.-Y. Chung, L. Benini, G. De Micheli, Contents provider-assisted dynamic voltage scaling for low energy multimedia applications, in Proceedings of IEEE International Symposium on Low Power Design (ISLPED), Monterey (2002), pp. 42–47
10.
go back to reference E.G. Daylight, S. Wuytack, C. Ykman-Couvreur, F. Catthoor, Analyzing energy friendly steady state phases of dynamic application execution in terms of sparse data structures, in Proceedings of IEEE International Symposium on Low Power Design (ISLPED), Monterey (2002), pp. 76–79 E.G. Daylight, S. Wuytack, C. Ykman-Couvreur, F. Catthoor, Analyzing energy friendly steady state phases of dynamic application execution in terms of sparse data structures, in Proceedings of IEEE International Symposium on Low Power Design (ISLPED), Monterey (2002), pp. 76–79
11.
go back to reference S. Debray, W. Evans, R. Muth, B. De Sutter, Compiler techniques for code compaction. ACM Trans. Program. Lang. Syst. 22(2), 378–415 (2002)CrossRef S. Debray, W. Evans, R. Muth, B. De Sutter, Compiler techniques for code compaction. ACM Trans. Program. Lang. Syst. 22(2), 378–415 (2002)CrossRef
12.
go back to reference V. Desmet, H. Vandierendonck, K. De Bosschere, 2far: a 2bcgskew predictor fused by an alloyed redundant history skewed perceptron branch predictor. J. Instruction-Level Parallelism 7, 1–11 (2005) V. Desmet, H. Vandierendonck, K. De Bosschere, 2far: a 2bcgskew predictor fused by an alloyed redundant history skewed perceptron branch predictor. J. Instruction-Level Parallelism 7, 1–11 (2005)
13.
go back to reference B. De Sutter, B. De Bus, K. De Bosschere, Link-time binary rewriting techniques for program compaction. ACM Trans. Program. Lang. Syst. 27(5), 882–945 (2006)CrossRef B. De Sutter, B. De Bus, K. De Bosschere, Link-time binary rewriting techniques for program compaction. ACM Trans. Program. Lang. Syst. 27(5), 882–945 (2006)CrossRef
14.
go back to reference B.P. Douglass, Real Time UML: Advances in the UML for Real-Time Systems (Addison Wesley, Reading, 2004) B.P. Douglass, Real Time UML: Advances in the UML for Real-Time Systems (Addison Wesley, Reading, 2004)
15.
go back to reference G. Dumont, M. Huzmezan, Concepts, methods and techniques in adaptive control. Proc. Am. Control Conf. 2, 1137–1150 (2002) G. Dumont, M. Huzmezan, Concepts, methods and techniques in adaptive control. Proc. Am. Control Conf. 2, 1137–1150 (2002)
16.
go back to reference V. Ferentinos, M. Milia, G. Lafruit, J. Bormans, F. Catthoor, Memory compaction and power optimization for wavelet-based coders, in Proceedings of IEEE Workshop on Power and Timing Modeling, Optimization and Simulation (PATMOS), Torino (2003), pp. 328–337 V. Ferentinos, M. Milia, G. Lafruit, J. Bormans, F. Catthoor, Memory compaction and power optimization for wavelet-based coders, in Proceedings of IEEE Workshop on Power and Timing Modeling, Optimization and Simulation (PATMOS), Torino (2003), pp. 328–337
17.
go back to reference V. Ferentinos, G. Lafruit, M. Milia, J. Bormans, F. Catthoor, T. Stouraitis, Optimized memory requirements for wavelet-based scalable multi-media codecs. J. Embed. Comput. 1(3), 363–380 (2005) V. Ferentinos, G. Lafruit, M. Milia, J. Bormans, F. Catthoor, T. Stouraitis, Optimized memory requirements for wavelet-based scalable multi-media codecs. J. Embed. Comput. 1(3), 363–380 (2005)
18.
go back to reference V. Ferentinos, B. Geelen, F. Catthoor, G. Lafruit, T. Stouraitis, R. Lauwereins, D. Verkest, Adaptive mapping to resource availability for dynamic wavelet-based applications, in Proceedings of IEEE 5th Estemedia Workshop (ESTIMEDIA), Salzburg (2007), pp. 53–58. V. Ferentinos, B. Geelen, F. Catthoor, G. Lafruit, T. Stouraitis, R. Lauwereins, D. Verkest, Adaptive mapping to resource availability for dynamic wavelet-based applications, in Proceedings of IEEE 5th Estemedia Workshop (ESTIMEDIA), Salzburg (2007), pp. 53–58.
19.
go back to reference D. Ferrari, Workload characterization and selection in computer performance measurement. IEEE Comput. 5(4), 18–24 (1972)CrossRef D. Ferrari, Workload characterization and selection in computer performance measurement. IEEE Comput. 5(4), 18–24 (1972)CrossRef
20.
go back to reference I. Filippopoulos, P.-G. Kjeldsberg, E. Hammari, F. Catthoor, J. Huisken, Memory-aware system scenario approach energy impact, in Proceedings of 30th Norchip Conference, Copenhagen (2012) I. Filippopoulos, P.-G. Kjeldsberg, E. Hammari, F. Catthoor, J. Huisken, Memory-aware system scenario approach energy impact, in Proceedings of 30th Norchip Conference, Copenhagen (2012)
21.
go back to reference I. Filippopoulos, P.-G. Kjeldsberg, E. Hammari, F. Catthoor, J. Huisken, Exploration of energy efficient memory organisations for dynamic multimedia applications using system scenarios, in MeAOW Workshop, Montreal (2013) I. Filippopoulos, P.-G. Kjeldsberg, E. Hammari, F. Catthoor, J. Huisken, Exploration of energy efficient memory organisations for dynamic multimedia applications using system scenarios, in MeAOW Workshop, Montreal (2013)
22.
go back to reference I. Filippopoulos, N. Sharma, F. Catthoor, P.-G. Kjeldsberg, P. Panda, Integrated exploration methodology for data interleaving and data-to-memory mapping on SIMD architectures. ACM Trans. Embed. Comput. Syst. 15(3), 59 (2016) I. Filippopoulos, N. Sharma, F. Catthoor, P.-G. Kjeldsberg, P. Panda, Integrated exploration methodology for data interleaving and data-to-memory mapping on SIMD architectures. ACM Trans. Embed. Comput. Syst. 15(3), 59 (2016)
23.
go back to reference M. Fowler, Use cases, in UML Distilled: A Brief Guide to the Standard Object Modeling Language, 3rd edn., chap. 9 (Addison Wesley, Reading, 2003), pp. 99–106 M. Fowler, Use cases, in UML Distilled: A Brief Guide to the Standard Object Modeling Language, 3rd edn., chap. 9 (Addison Wesley, Reading, 2003), pp. 99–106
24.
go back to reference M.C.W. Geilen, T. Basten, B.D. Theelen, R.H.J.M. Otten, An algebra of Pareto points. Fund. Inform. 78(1), 35–74 (2007)MathSciNetMATH M.C.W. Geilen, T. Basten, B.D. Theelen, R.H.J.M. Otten, An algebra of Pareto points. Fund. Inform. 78(1), 35–74 (2007)MathSciNetMATH
25.
go back to reference V. Gheorghita, T. Basten, H. Corporaal, Intra-task scenario-aware voltage scheduling, in Proceedings of IEEE International Conference on Compilers, Architecture and Synthesis for Embedded Systems (CASES) (2005), pp. 177–184 V. Gheorghita, T. Basten, H. Corporaal, Intra-task scenario-aware voltage scheduling, in Proceedings of IEEE International Conference on Compilers, Architecture and Synthesis for Embedded Systems (CASES) (2005), pp. 177–184
26.
go back to reference V. Gheorghita, T. Basten, H. Corporaal, Application scenarios in streaming-oriented embedded system design, in Proceedings of IEEE International Symposium on System-on-Chip (SoC 2006), Tampere (2006), pp. 175–178 V. Gheorghita, T. Basten, H. Corporaal, Application scenarios in streaming-oriented embedded system design, in Proceedings of IEEE International Symposium on System-on-Chip (SoC 2006), Tampere (2006), pp. 175–178
27.
go back to reference V. Gheorghita, S. Stuijk, T. Basten, H. Corporaal, Automatic scenario detection for improved WCET estimation, in Proceedings of 45th ACM/IEEE Design Automation Conference (DAC), San Francisco (2008), pp. 101–104 V. Gheorghita, S. Stuijk, T. Basten, H. Corporaal, Automatic scenario detection for improved WCET estimation, in Proceedings of 45th ACM/IEEE Design Automation Conference (DAC), San Francisco (2008), pp. 101–104
28.
go back to reference V. Gheorghita, T. Basten, H. Corporaal, Scenario selection and prediction for DVS-aware scheduling. J. Signal Process. Syst. 50(2), 137–161 (2008)CrossRef V. Gheorghita, T. Basten, H. Corporaal, Scenario selection and prediction for DVS-aware scheduling. J. Signal Process. Syst. 50(2), 137–161 (2008)CrossRef
29.
go back to reference V. Gheorghita, M. Palkovic, J. Hamers, A. Vandecappelle, S. Mamagkakis, T. Basten, L. Eeckhout, H. Corporaal, F. Catthoor, F. Vandeputte, K. De Bosschere, System scenario based design of dynamic embedded systems. ACM Trans. Des. Autom. Embed. Syst. 14(1), article 3 (2009) V. Gheorghita, M. Palkovic, J. Hamers, A. Vandecappelle, S. Mamagkakis, T. Basten, L. Eeckhout, H. Corporaal, F. Catthoor, F. Vandeputte, K. De Bosschere, System scenario based design of dynamic embedded systems. ACM Trans. Des. Autom. Embed. Syst. 14(1), article 3 (2009)
30.
go back to reference K. Goossens, J. Dielissen, J. van Meerbergen, P. Poplavko, A. Radulescu, E. Rijpkema, E. Waterlander, P. Wielage, Guaranteeing the quality of services in networks on chip, in Networks on Chip, chap. 4 (Kluwer Academic Publishers, Hingham, 2003), pp. 61–82 K. Goossens, J. Dielissen, J. van Meerbergen, P. Poplavko, A. Radulescu, E. Rijpkema, E. Waterlander, P. Wielage, Guaranteeing the quality of services in networks on chip, in Networks on Chip, chap. 4 (Kluwer Academic Publishers, Hingham, 2003), pp. 61–82
31.
go back to reference J. Hamers, L. Eeckhout, K. De Bosschere, Exploiting video stream similarity for energy-efficient decoding, in Proceedings of the 13th International Multimedia Modeling Conference (MMM). Lecture Notes in Computer Science, vol. 4352 (Springer, Berlin, 2007), pp. 11–22 J. Hamers, L. Eeckhout, K. De Bosschere, Exploiting video stream similarity for energy-efficient decoding, in Proceedings of the 13th International Multimedia Modeling Conference (MMM). Lecture Notes in Computer Science, vol. 4352 (Springer, Berlin, 2007), pp. 11–22
32.
go back to reference E. Hammari, F. Catthoor, J. Huisken, P.G. Kjeldsberg, Application of medium-grain multiprocessor mapping methodology to epileptic seizure predictor, in Proceedings of IEEE Norchip Conference, Tampere (2010) E. Hammari, F. Catthoor, J. Huisken, P.G. Kjeldsberg, Application of medium-grain multiprocessor mapping methodology to epileptic seizure predictor, in Proceedings of IEEE Norchip Conference, Tampere (2010)
33.
go back to reference E. Hammari, F. Catthoor, P.G. Kjeldsberg, J. Huisken, K. Tsakalis, L. Iassemidis, Identifying data-dependent system scenarios in a dynamic embedded system, in Proceedings of IEEE Conference on Engineering of Reconfigurable Systems and Algorithms (ERSA), Las Vegas (2012), pp. 70–76 E. Hammari, F. Catthoor, P.G. Kjeldsberg, J. Huisken, K. Tsakalis, L. Iassemidis, Identifying data-dependent system scenarios in a dynamic embedded system, in Proceedings of IEEE Conference on Engineering of Reconfigurable Systems and Algorithms (ERSA), Las Vegas (2012), pp. 70–76
34.
go back to reference A. Hansson, M. Coenen, K. Goossens, Undisrupted quality-of-service during reconfiguration of multiple applications in networks on chip, in Proceedings of Design, Automation, and Test in Europe Conference (DATE) (IEEE Press, Piscataway, 2007), pp. 954–959 A. Hansson, M. Coenen, K. Goossens, Undisrupted quality-of-service during reconfiguration of multiple applications in networks on chip, in Proceedings of Design, Automation, and Test in Europe Conference (DATE) (IEEE Press, Piscataway, 2007), pp. 954–959
35.
go back to reference M. Huang, J. Renau, J. Torrellas, Positional adaptation of processors: application to energy reduction, in Proceedings of International Symposium on Computer Architecture (ISCA), San Diego (2003) M. Huang, J. Renau, J. Torrellas, Positional adaptation of processors: application to energy reduction, in Proceedings of International Symposium on Computer Architecture (ISCA), San Diego (2003)
36.
go back to reference C.J. Hughes, J. Srinivasan, S.V. Adve, Saving energy with architectural and frequency adaptations for multimedia applications, in Proceedings of 34th Annual International Symposium on Microarchitecture (MICRO-34) (IEEE Computer Society, Washington, 2001), pp. 250–261 C.J. Hughes, J. Srinivasan, S.V. Adve, Saving energy with architectural and frequency adaptations for multimedia applications, in Proceedings of 34th Annual International Symposium on Microarchitecture (MICRO-34) (IEEE Computer Society, Washington, 2001), pp. 250–261
37.
go back to reference IEEE Standard 1471, Recommended practice for architectural description of software-intensive systems (2000) IEEE Standard 1471, Recommended practice for architectural description of software-intensive systems (2000)
38.
go back to reference M.T. Ionita, Scenario-based system architecting: a systematic approach to developing future-proof system architectures. Ph.D. Thesis, Technische Universiteit Eindhoven (2005) M.T. Ionita, Scenario-based system architecting: a systematic approach to developing future-proof system architectures. Ph.D. Thesis, Technische Universiteit Eindhoven (2005)
39.
go back to reference N. Jha, Low power system scheduling and synthesis, in Proceedings IEEE International Conference on Computer-Aided Design, San Jose (2001), pp. 259–263 N. Jha, Low power system scheduling and synthesis, in Proceedings IEEE International Conference on Computer-Aided Design, San Jose (2001), pp. 259–263
40.
go back to reference D. Kotz, K. Essien, Analysis of a campus-wide wireless network. Wirel. Netw. 11(1), 115–133 (2005)CrossRef D. Kotz, K. Essien, Analysis of a campus-wide wireless network. Wirel. Netw. 11(1), 115–133 (2005)CrossRef
42.
go back to reference S. Lee, S. Yoo, K. Choi, An intra-task dynamic voltage scaling method for SoC design with hierarchical FSM and synchronous dataflow model, in Proceedings of IEEE International Symposium on Low Power Design (ISLPED), Monterey (2002), pp. 84–87 S. Lee, S. Yoo, K. Choi, An intra-task dynamic voltage scaling method for SoC design with hierarchical FSM and synchronous dataflow model, in Proceedings of IEEE International Symposium on Low Power Design (ISLPED), Monterey (2002), pp. 84–87
43.
go back to reference Z. Ma, P. Marchal, D. Scarpazza, P. Yang, C. Wong, I. Gomez, S. Himpe, C. Ykman, F. Catthoor, Systematic Methodology for Real-Time Cost-Effective Mapping of Dynamic Concurrent Task-Based Systems on Heterogeneous Platforms (Springer, Heidelberg, 2007). ISBN 978-1-4020-6328-2CrossRef Z. Ma, P. Marchal, D. Scarpazza, P. Yang, C. Wong, I. Gomez, S. Himpe, C. Ykman, F. Catthoor, Systematic Methodology for Real-Time Cost-Effective Mapping of Dynamic Concurrent Task-Based Systems on Heterogeneous Platforms (Springer, Heidelberg, 2007). ISBN 978-1-4020-6328-2CrossRef
44.
go back to reference S. Mamagkakis, F. Catthoor, D. Soudris, Middleware design optimisation of wireless protocols based on the exploitation of dynamic input patterns, in Proceedings of 10th ACM/IEEE Design and Test in Europe Conference (DATE), Nice (2007), pp. 1036–1041 S. Mamagkakis, F. Catthoor, D. Soudris, Middleware design optimisation of wireless protocols based on the exploitation of dynamic input patterns, in Proceedings of 10th ACM/IEEE Design and Test in Europe Conference (DATE), Nice (2007), pp. 1036–1041
45.
go back to reference P. Marchal, C. Wong, A. Prayati, N. Cossement, F. Catthoor, R. Lauwereins, D. Verkest, H. De Man, Dynamic memory oriented transformations in the MPEG4 IM1-player on a low power platform, in Proceedings of International Workshop on Power Aware Computing Systems (PACS), Cambridge (2000), pp. 31–40 P. Marchal, C. Wong, A. Prayati, N. Cossement, F. Catthoor, R. Lauwereins, D. Verkest, H. De Man, Dynamic memory oriented transformations in the MPEG4 IM1-player on a low power platform, in Proceedings of International Workshop on Power Aware Computing Systems (PACS), Cambridge (2000), pp. 31–40
46.
go back to reference S. Murali, M. Coenen, A. Radulescu, K. Goossens, G. De Micheli, Mapping and configuration methods for multi-use-case networks on chips, in Proceedings of the Asia South Pacific Design Automation Conference (ASPDAC) (ACM Press, New York, 2006), pp. 146–151 S. Murali, M. Coenen, A. Radulescu, K. Goossens, G. De Micheli, Mapping and configuration methods for multi-use-case networks on chips, in Proceedings of the Asia South Pacific Design Automation Conference (ASPDAC) (ACM Press, New York, 2006), pp. 146–151
47.
go back to reference S. Murali, M. Coenen, A. Radulescu, K. Goossens, G. De Micheli, A methodology for mapping multiple use-cases onto networks on chips. Proceedings of Design, Automation, and Test in Europe Conference (DATE) (IEEE Press, Piscataway, 2006), pp. 118–123 S. Murali, M. Coenen, A. Radulescu, K. Goossens, G. De Micheli, A methodology for mapping multiple use-cases onto networks on chips. Proceedings of Design, Automation, and Test in Europe Conference (DATE) (IEEE Press, Piscataway, 2006), pp. 118–123
48.
go back to reference T. Okabe, Y. Jin, B. Sendhoff, A critical survey of performance indices for multi-objective optimisation, in Proceedings of the Congress on Evolutionary Computation, vol. 2 (IEEE Press, Piscataway, 2003), pp. 878–885 T. Okabe, Y. Jin, B. Sendhoff, A critical survey of performance indices for multi-objective optimisation, in Proceedings of the Congress on Evolutionary Computation, vol. 2 (IEEE Press, Piscataway, 2003), pp. 878–885
49.
go back to reference M. Palkovic, H. Corporaal, F. Catthoor, Global memory optimisation for embedded systems allowed by code duplication, in 9th International Workshop on Software and Compilers for Embedded Systems (SCOPES), Dallas (2005), pp. 72–79 M. Palkovic, H. Corporaal, F. Catthoor, Global memory optimisation for embedded systems allowed by code duplication, in 9th International Workshop on Software and Compilers for Embedded Systems (SCOPES), Dallas (2005), pp. 72–79
50.
go back to reference M. Palkovic, H. Corporaal, F. Catthoor, Dealing with variable trip count loops in system level exploration, in International Workshop on Optimizations for DSP and Embedded Systems (ODES), in Conjunction with International Symposium on Code Generation and Optimization, Manhattan (2006), pp. 19–28 M. Palkovic, H. Corporaal, F. Catthoor, Dealing with variable trip count loops in system level exploration, in International Workshop on Optimizations for DSP and Embedded Systems (ODES), in Conjunction with International Symposium on Code Generation and Optimization, Manhattan (2006), pp. 19–28
51.
go back to reference V. Pareto, Manual of Political Economy (1906) (translation of the 1927 edition). (A.M. Kelley, New York, 1971) V. Pareto, Manual of Political Economy (1906) (translation of the 1927 edition). (A.M. Kelley, New York, 1971)
52.
go back to reference J.M. Paul, D.E. Thomas, A. Bobrek, Scenario-oriented design for single-chip heterogeneous multiprocessors. IEEE Trans.Very Large Scale Integr. Syst. 14(8), 868–880 (2006)CrossRef J.M. Paul, D.E. Thomas, A. Bobrek, Scenario-oriented design for single-chip heterogeneous multiprocessors. IEEE Trans.Very Large Scale Integr. Syst. 14(8), 868–880 (2006)CrossRef
53.
go back to reference M. Peon-Quiros, A. Bartzas, S. Mamagkakis, F. Catthoor, J. Mendias, D. Soudris, Direct memory access optimisation in wireless terminals for reduced memory latency and energy consumption, in Proceedings of IEEE Workshop on Power and Timing Modeling, Optimization and Simulation (PATMOS). Lecture Notes in Computer Science, vol. 4644 Goteborg (2007), pp. 373–383 M. Peon-Quiros, A. Bartzas, S. Mamagkakis, F. Catthoor, J. Mendias, D. Soudris, Direct memory access optimisation in wireless terminals for reduced memory latency and energy consumption, in Proceedings of IEEE Workshop on Power and Timing Modeling, Optimization and Simulation (PATMOS). Lecture Notes in Computer Science, vol. 4644 Goteborg (2007), pp. 373–383
54.
go back to reference S. Pollin, R. Mangharam, B. Bougard, L. Van der Perre, I. Moerman, R. Rajkumar, F. Catthoor, MEERA: cross-layer methodology for energy-efficient resource allocation for wireless networks. IEEE Trans. Wirel. Commun. 56(5), 606–621 (2007)MATH S. Pollin, R. Mangharam, B. Bougard, L. Van der Perre, I. Moerman, R. Rajkumar, F. Catthoor, MEERA: cross-layer methodology for energy-efficient resource allocation for wireless networks. IEEE Trans. Wirel. Commun. 56(5), 606–621 (2007)MATH
55.
go back to reference P. Poplavko, T. Basten, J.L. van Meerbergen, Execution-time prediction for dynamic streaming applications with task-level parallelism, in Proceedings of 10th EuroMicro Conference in Digital System Design (DSD) (IEEE Computer Society Press, Washington, 2007), pp. 228–235 P. Poplavko, T. Basten, J.L. van Meerbergen, Execution-time prediction for dynamic streaming applications with task-level parallelism, in Proceedings of 10th EuroMicro Conference in Digital System Design (DSD) (IEEE Computer Society Press, Washington, 2007), pp. 228–235
56.
go back to reference B. Raman, S. Chakraborty, Application-specific workload shaping in multimedia-enabled personal mobile devices, in Proceedings of the 4th International Conference on Hardware Software Codesign, Seoul (2006), pp. 4–9 B. Raman, S. Chakraborty, Application-specific workload shaping in multimedia-enabled personal mobile devices, in Proceedings of the 4th International Conference on Hardware Software Codesign, Seoul (2006), pp. 4–9
57.
go back to reference D.G. Sachs, S.V. Adve, D.L. Jones, Cross-layer adaptive video coding to reduce energy on general-purpose processors, in Proceedings of IEEE International Conference on Image Processing (IEEE Press, Piscataway, 2003), pp. 109–112 D.G. Sachs, S.V. Adve, D.L. Jones, Cross-layer adaptive video coding to reduce energy on general-purpose processors, in Proceedings of IEEE International Conference on Image Processing (IEEE Press, Piscataway, 2003), pp. 109–112
58.
go back to reference J.H. Saltz, R. Mirchandaney, K. Crowley, Run-time parallelization and scheduling of loops. IEEE Trans. Comput. 40(5), 603–612 (1991)CrossRef J.H. Saltz, R. Mirchandaney, K. Crowley, Run-time parallelization and scheduling of loops. IEEE Trans. Comput. 40(5), 603–612 (1991)CrossRef
59.
go back to reference C. Sanz Pineda, A. Papanikolaou, M. Prieto, M. Miranda, F. Catthoor, System-level process variability compensation on memory organisations of dynamic applications: a case study, in Proceedings of IEEE International Symposium on Quality Electronic Design (ISQED), San Jose (2006), pp. 376–382 C. Sanz Pineda, A. Papanikolaou, M. Prieto, M. Miranda, F. Catthoor, System-level process variability compensation on memory organisations of dynamic applications: a case study, in Proceedings of IEEE International Symposium on Quality Electronic Design (ISQED), San Jose (2006), pp. 376–382
60.
go back to reference R. Sasanka, C.J. Hughes, S.V. Adve, Joint local and global hardware adaptations for energy. ACM SIGARCH Comput. Architect. News 30(5), 144–155 (2002)CrossRef R. Sasanka, C.J. Hughes, S.V. Adve, Joint local and global hardware adaptations for energy. ACM SIGARCH Comput. Architect. News 30(5), 144–155 (2002)CrossRef
61.
go back to reference T. Sherwood, E. Perelman, G. Hamerly, B. Calder, Automatically characterizing large scale program behavior, in Proceedings of the 10th International Conference on Architectural Support for Programming Languages and Operating Systems, New York (2002), pp. 45–57 T. Sherwood, E. Perelman, G. Hamerly, B. Calder, Automatically characterizing large scale program behavior, in Proceedings of the 10th International Conference on Architectural Support for Programming Languages and Operating Systems, New York (2002), pp. 45–57
62.
go back to reference D. Shin, J. Kim, Optimizing intra-task voltage scheduling using data flow analysis, in Proceedings of the 10th Asia and South Pacific Design Automation Conference (ASPDAC) (ACM Press, New York, 2005), pp. 703–708 D. Shin, J. Kim, Optimizing intra-task voltage scheduling using data flow analysis, in Proceedings of the 10th Asia and South Pacific Design Automation Conference (ASPDAC) (ACM Press, New York, 2005), pp. 703–708
63.
go back to reference M. Skelin, Worst-case performance analysis of scenario-aware real-time streaming applications. Doctoral Dissertation, N.Technical Univ. Norway, Trondheim and K.U. Leuven, Belgium (dual PhD) (2016) M. Skelin, Worst-case performance analysis of scenario-aware real-time streaming applications. Doctoral Dissertation, N.Technical Univ. Norway, Trondheim and K.U. Leuven, Belgium (dual PhD) (2016)
64.
go back to reference M. Skelin, M. Geilen, F. Catthoor, S. Hendseth, Worst-case throughput analysis for parametric rate and parametric actor execution time scenario-aware dataflow graphs, in 1st International Workshop on Synthesis of Continuous Parameters (SynCop), Grenoble (2014), pp. 65–79 M. Skelin, M. Geilen, F. Catthoor, S. Hendseth, Worst-case throughput analysis for parametric rate and parametric actor execution time scenario-aware dataflow graphs, in 1st International Workshop on Synthesis of Continuous Parameters (SynCop), Grenoble (2014), pp. 65–79
65.
go back to reference M. Skelin, F. Catthoor, S. Hendseth, Worst-case throughput analysis of SDF-based parametrized dataflow models, in Proceedings of Euromicro Symposium on Digital System Design (DSD), Funchal (2015), pp. 17–24 M. Skelin, F. Catthoor, S. Hendseth, Worst-case throughput analysis of SDF-based parametrized dataflow models, in Proceedings of Euromicro Symposium on Digital System Design (DSD), Funchal (2015), pp. 17–24
66.
go back to reference M. Skelin, M. Geilen, F. Catthoor, S. Hendseth, Parametrized dataflow scenarios, in Proceedings of 6th ACM/IEEE International Conference on Embedded Software (EMSOFT, Amsterdam (2015), pp. 95–104 M. Skelin, M. Geilen, F. Catthoor, S. Hendseth, Parametrized dataflow scenarios, in Proceedings of 6th ACM/IEEE International Conference on Embedded Software (EMSOFT, Amsterdam (2015), pp. 95–104
67.
go back to reference M. Skelin, F. Catthoor, S. Hendseth, Worst-case latency analysis of SDF-based parametrized dataflow models, in Proceedings of Conference on Design and Architecture for Signal and Image Proceedings (DASIP), Cracow (2015) M. Skelin, F. Catthoor, S. Hendseth, Worst-case latency analysis of SDF-based parametrized dataflow models, in Proceedings of Conference on Design and Architecture for Signal and Image Proceedings (DASIP), Cracow (2015)
68.
go back to reference M. Skelin, E.R. Wognsen, M.C. Olesen, R. Hansen, K. Larsen, Model checking of finite-state machine-based scenario-aware dataflow using timed automata, in 10th IEEE International Symposium on Industrial Embedded Systems (SIES) (2015), pp. 1–10 M. Skelin, E.R. Wognsen, M.C. Olesen, R. Hansen, K. Larsen, Model checking of finite-state machine-based scenario-aware dataflow using timed automata, in 10th IEEE International Symposium on Industrial Embedded Systems (SIES) (2015), pp. 1–10
69.
go back to reference M. Skelin, E.R. Wognsen, M.C. Olesen, R. Hansen, K. Larsen, Towards translating FSM-SADF to timed automata, in 1st International Workshop on Investigating Dataflow in Embedded Computing Architectures (IDEA), Amsterdam (2015), pp. 13–16 M. Skelin, E.R. Wognsen, M.C. Olesen, R. Hansen, K. Larsen, Towards translating FSM-SADF to timed automata, in 1st International Workshop on Investigating Dataflow in Embedded Computing Architectures (IDEA), Amsterdam (2015), pp. 13–16
70.
go back to reference M. Skelin, M. Geilen, F. Catthoor, S. Hendseth, Worst-case performance analysis of SDF-based parametrized dataflow. Microprocess. Microsyst. 52, 439–460 (2017). Elsevier, invited paper, (online) Dec. 2016 M. Skelin, M. Geilen, F. Catthoor, S. Hendseth, Worst-case performance analysis of SDF-based parametrized dataflow. Microprocess. Microsyst. 52, 439–460 (2017). Elsevier, invited paper, (online) Dec. 2016
71.
go back to reference M. Skelin, M. Geilen, F. Catthoor, S. Hendseth, Parameterized dataflow scenarios. IEEE Trans. Comput. Aided Des. CAD-36(4), 669–682 (2017)CrossRef M. Skelin, M. Geilen, F. Catthoor, S. Hendseth, Parameterized dataflow scenarios. IEEE Trans. Comput. Aided Des. CAD-36(4), 669–682 (2017)CrossRef
72.
go back to reference N. Tack, G. Lafruit, F. Catthoor, R. Lauwereins, Platform independent optimisation of multi-resolution 3D content for enabling universal media access. Vis. Comput. 22(8), 577–590 (2006)CrossRef N. Tack, G. Lafruit, F. Catthoor, R. Lauwereins, Platform independent optimisation of multi-resolution 3D content for enabling universal media access. Vis. Comput. 22(8), 577–590 (2006)CrossRef
73.
go back to reference M. Temmerman, E. Daylight, F. Catthoor, S. Demeyer, T. Dhaene, Optimizing data structures at the modeling level in embedded multimedia. J. Syst. Architect. 53(8), 465–550 (2007)CrossRef M. Temmerman, E. Daylight, F. Catthoor, S. Demeyer, T. Dhaene, Optimizing data structures at the modeling level in embedded multimedia. J. Syst. Architect. 53(8), 465–550 (2007)CrossRef
74.
go back to reference B.D. Theelen, M.C.W. Geilen, T. Basten, J.P.M. Voeten, S.V. Gheorghita, S. Stuijk, A scenario-aware data flow model for combined long-run average and worst-case performance analysis, in Proceedings of the 4th ACM-IEEE International Conference on Formal Methods and Models for Codesign (MEMOCODE) (IEEE Computer Society Press, Washington, 2006), pp. 185–194 B.D. Theelen, M.C.W. Geilen, T. Basten, J.P.M. Voeten, S.V. Gheorghita, S. Stuijk, A scenario-aware data flow model for combined long-run average and worst-case performance analysis, in Proceedings of the 4th ACM-IEEE International Conference on Formal Methods and Models for Codesign (MEMOCODE) (IEEE Computer Society Press, Washington, 2006), pp. 185–194
75.
go back to reference F. Vandeputte, L. Eeckhout, K. De Bosschere, A detailed study on phase predictors, in Proceedings of the 11th International Euro-Par Conference (Springer, Berlin, 2005), pp. 571–581 F. Vandeputte, L. Eeckhout, K. De Bosschere, A detailed study on phase predictors, in Proceedings of the 11th International Euro-Par Conference (Springer, Berlin, 2005), pp. 571–581
76.
go back to reference F. Vandeputte, L. Eeckhout, K. De Bosschere, Exploiting program phase behavior for energy reduction on multi-configuration processors. J. Syst. Architect. 53(8), 489–500 (2007)CrossRef F. Vandeputte, L. Eeckhout, K. De Bosschere, Exploiting program phase behavior for energy reduction on multi-configuration processors. J. Syst. Architect. 53(8), 489–500 (2007)CrossRef
77.
go back to reference P. van der Mark, L. Wolters, G. Cats, Using semi-Lagrangian formulations with automatic code generation for environmental modeling, in Proceedings of the 2004 ACM Symposium on Applied Computing (SAC), Nicosia (2004), pp. 229–234 P. van der Mark, L. Wolters, G. Cats, Using semi-Lagrangian formulations with automatic code generation for environmental modeling, in Proceedings of the 2004 ACM Symposium on Applied Computing (SAC), Nicosia (2004), pp. 229–234
78.
go back to reference A. Vogel, B. Kerherve, G. von Bochmann, J. Gecsei, Distributed multimedia and QoS: a survey. IEEE Multimedia 2(2), 10–19 (1995)CrossRef A. Vogel, B. Kerherve, G. von Bochmann, J. Gecsei, Distributed multimedia and QoS: a survey. IEEE Multimedia 2(2), 10–19 (1995)CrossRef
79.
go back to reference E. Wandeler, L. Thiele, Characterizing workload correlations in multi processor hard real-time systems, in Proceedings of the 11th IEEE Real-Time and Embedded Technology and Applications Symposium (RTAS) (IEEE Computer Society Press, Washington, 2005), pp. 46–55 E. Wandeler, L. Thiele, Characterizing workload correlations in multi processor hard real-time systems, in Proceedings of the 11th IEEE Real-Time and Embedded Technology and Applications Symposium (RTAS) (IEEE Computer Society Press, Washington, 2005), pp. 46–55
80.
go back to reference I. Wegener, Integer-valued DDs, in Branching Programs and Binary Decision Diagrams: Theory and Applications. SIAM Monographs on Discrete Mathematics and Applications, chap. 9 (Society for Industrial and Applied Mathematics, Philadelphia, 2000) I. Wegener, Integer-valued DDs, in Branching Programs and Binary Decision Diagrams: Theory and Applications. SIAM Monographs on Discrete Mathematics and Applications, chap. 9 (Society for Industrial and Applied Mathematics, Philadelphia, 2000)
81.
go back to reference P. Yang, Pareto-optimisation based run-time task scheduling for embedded systems. Doctoral Dissertation, ESAT/EE Department, K.U. Leuven (2004) P. Yang, Pareto-optimisation based run-time task scheduling for embedded systems. Doctoral Dissertation, ESAT/EE Department, K.U. Leuven (2004)
82.
go back to reference P. Yang, F. Catthoor, Pareto optimization based run-time task scheduling for embedded systems, in Proceedings of Workshop on Hardware/Software Co-Design and International System-Level Synthesis Symposium (Codes-ISSS), San Diego (2003), pp. 120–125 P. Yang, F. Catthoor, Pareto optimization based run-time task scheduling for embedded systems, in Proceedings of Workshop on Hardware/Software Co-Design and International System-Level Synthesis Symposium (Codes-ISSS), San Diego (2003), pp. 120–125
83.
go back to reference P. Yang, F. Catthoor, Dynamic mapping and ordering tasks of embedded real-time systems on multi-processor platforms, in 8th International Workshop on Software and Compilers for Embedded Systems (SCOPES). Lecture Notes in Computer Science (Springer, Berlin, 2004), pp. 167–181 P. Yang, F. Catthoor, Dynamic mapping and ordering tasks of embedded real-time systems on multi-processor platforms, in 8th International Workshop on Software and Compilers for Embedded Systems (SCOPES). Lecture Notes in Computer Science (Springer, Berlin, 2004), pp. 167–181
84.
go back to reference P. Yang, C. Wong, P. Marchal, F. Catthoor, D. Desmet, D. Verkest, R. Lauwereins, Energy-aware runtime scheduling for embedded multi-processor SOCs. IEEE Des. Test Comput. 18(5), 46–58 (2001). Special issue on “Application-specific multi-processor mapping” P. Yang, C. Wong, P. Marchal, F. Catthoor, D. Desmet, D. Verkest, R. Lauwereins, Energy-aware runtime scheduling for embedded multi-processor SOCs. IEEE Des. Test Comput. 18(5), 46–58 (2001). Special issue on “Application-specific multi-processor mapping”
85.
go back to reference P. Yang, P. Marchal, C. Wong, S. Himpe, F. Catthoor, P. David, J. Vounckx, R. Lauwereins, Managing dynamic concurrent tasks in embedded real-time multimedia systems, invited paper in Proceedings of 15th ACM/IEEE International Symposium on System-Level Synthesis (ISSS), Kyoto (2002), pp. 112–119 P. Yang, P. Marchal, C. Wong, S. Himpe, F. Catthoor, P. David, J. Vounckx, R. Lauwereins, Managing dynamic concurrent tasks in embedded real-time multimedia systems, invited paper in Proceedings of 15th ACM/IEEE International Symposium on System-Level Synthesis (ISSS), Kyoto (2002), pp. 112–119
86.
go back to reference Y. Yassin, P.G. Kjeldsberg, F. Catthoor, H264/AVC system scenario framework evaluation on EFM32, in Proceedings 22th European Conference on Circuit Theory and Design, ECCTD, Trondheim (2015) Y. Yassin, P.G. Kjeldsberg, F. Catthoor, H264/AVC system scenario framework evaluation on EFM32, in Proceedings 22th European Conference on Circuit Theory and Design, ECCTD, Trondheim (2015)
87.
go back to reference Y. Yassin, P.G. Kjeldsberg, F. Catthoor, Dynamic hardware management of the H264/AVC encoder control structure using a framework for system scenarios, in Proceedings of Euromicro Symposium on Digital System Design (DSD), Limassol (2016), pp. 222–229 Y. Yassin, P.G. Kjeldsberg, F. Catthoor, Dynamic hardware management of the H264/AVC encoder control structure using a framework for system scenarios, in Proceedings of Euromicro Symposium on Digital System Design (DSD), Limassol (2016), pp. 222–229
88.
go back to reference Y. Yassin, P.G. Kjeldsberg, F. Catthoor, Techniques for scenario prediction and switching in system scenario based designs, poster presentation at PhD Forum in 20th ACM/IEEE Design and Test in Europe Conference (DATE), Lausanne (2017) Y. Yassin, P.G. Kjeldsberg, F. Catthoor, Techniques for scenario prediction and switching in system scenario based designs, poster presentation at PhD Forum in 20th ACM/IEEE Design and Test in Europe Conference (DATE), Lausanne (2017)
89.
go back to reference Y. Yassin, F. Catthoor, P.G. Kjeldsberg, A. Perkis, Techniques for dynamic hardware management of streaming media applications using a framework for system scenarios, in Microprocessors and Microprogramming (Elsevier, Amsterdam, 2018) Y. Yassin, F. Catthoor, P.G. Kjeldsberg, A. Perkis, Techniques for dynamic hardware management of streaming media applications using a framework for system scenarios, in Microprocessors and Microprogramming (Elsevier, Amsterdam, 2018)
90.
go back to reference C. Ykman-Couvreur, E. Brockmey, V. Nollet, T. Marescaux, F. Catthoor, H. Corporaal, Design-time application exploration for MP-SoC customized run-time management, in Proceedings of International System-on Chip Symposium (SoC), Tampere (2005), pp. 66–73 C. Ykman-Couvreur, E. Brockmey, V. Nollet, T. Marescaux, F. Catthoor, H. Corporaal, Design-time application exploration for MP-SoC customized run-time management, in Proceedings of International System-on Chip Symposium (SoC), Tampere (2005), pp. 66–73
91.
go back to reference C. Ykman-Couvreur, V. Nollet, T. Marescaux, E. Brockmey, F. Catthoor, H. Corporaal, Fast multi-dimension multi-choice knapsack heuristic for MP-SoC run-time management, in Proceedings of International System-on Chip Symposium (SoC), Tampere (2006), pp. 195–198 C. Ykman-Couvreur, V. Nollet, T. Marescaux, E. Brockmey, F. Catthoor, H. Corporaal, Fast multi-dimension multi-choice knapsack heuristic for MP-SoC run-time management, in Proceedings of International System-on Chip Symposium (SoC), Tampere (2006), pp. 195–198
92.
go back to reference D. Yokota, S. Chiba, K. Itano, A new optimization technique for the inspector-executor method, in Proceedings of International Conference on Parallel and Distributed Computing Systems (PDCS), Cambridge (2002), pp. 706–711 D. Yokota, S. Chiba, K. Itano, A new optimization technique for the inspector-executor method, in Proceedings of International Conference on Parallel and Distributed Computing Systems (PDCS), Cambridge (2002), pp. 706–711
Metadata
Title
System Scenario Methodology Flow
Author
Francky Catthoor
Copyright Year
2020
Publisher
Springer International Publishing
DOI
https://doi.org/10.1007/978-3-030-20343-6_2