Skip to main content
Top

2017 | OriginalPaper | Chapter

3. Ultra-Low-Power Digital Architectures for the Internet of Things

Authors : Davide Rossi, Igor Loi, Antonio Pullini, Luca Benini

Published in: Enabling the Internet of Things

Publisher: Springer International Publishing

Activate our intelligent search to find suitable subject content or patents.

search-config
loading …

Abstract

This chapter introduces the architectures implementing the digital processing platforms and control for Internet of things applications. It will provide a review of the state of the art Ultra-Low-Power (ULP) micro-controllers architecture, highlighting main challenges and perspectives, introducing the potential of exploiting parallelism in this field currently dominated by single issue processors.

Dont have a licence yet? Then find out more about our products and how to get one now:

Springer Professional "Wirtschaft+Technik"

Online-Abonnement

Mit Springer Professional "Wirtschaft+Technik" erhalten Sie Zugriff auf:

  • über 102.000 Bücher
  • über 537 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Maschinenbau + Werkstoffe
  • Versicherung + Risiko

Jetzt Wissensvorsprung sichern!

Springer Professional "Technik"

Online-Abonnement

Mit Springer Professional "Technik" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 390 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Maschinenbau + Werkstoffe




 

Jetzt Wissensvorsprung sichern!

Springer Professional "Wirtschaft"

Online-Abonnement

Mit Springer Professional "Wirtschaft" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 340 Zeitschriften

aus folgenden Fachgebieten:

  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Versicherung + Risiko




Jetzt Wissensvorsprung sichern!

Literature
go back to reference M. Alioto, Ultra-low power VLSI circuit design demystified and explained: a tutorial. IEEE Trans. Circuits Syst.—Part I (Invited) 59(1), 3–29 (2012)MathSciNetCrossRef M. Alioto, Ultra-low power VLSI circuit design demystified and explained: a tutorial. IEEE Trans. Circuits Syst.—Part I (Invited) 59(1), 3–29 (2012)MathSciNetCrossRef
go back to reference Ambiq Micro, Ultra-low power MCU family. Apollo Datasheet rev 0.45 (Sep. 2015) Ambiq Micro, Ultra-low power MCU family. Apollo Datasheet rev 0.45 (Sep. 2015)
go back to reference ARM, Cortex-M4, Technical Reference Manual r0p0 Issue A (Mar. 2010), pp. 3.8–3.10 ARM, Cortex-M4, Technical Reference Manual r0p0 Issue A (Mar. 2010), pp. 3.8–3.10
go back to reference Atmel, SMART ARM-based Microcontrollers. SAM L22x Rev. A datasheet (Aug. 2015) Atmel, SMART ARM-based Microcontrollers. SAM L22x Rev. A datasheet (Aug. 2015)
go back to reference R. Banakar, S. Steinke, B. Lee, M. Balakrishnan, P. Marwedel, Scratchpad memory: a design alternative for cache on-chip memory in embedded systems. in Tenth International Symposium on Hardware/Software Codesign (CODES) (Estes Park, 2002) R. Banakar, S. Steinke, B. Lee, M. Balakrishnan, P. Marwedel, Scratchpad memory: a design alternative for cache on-chip memory in embedded systems. in Tenth International Symposium on Hardware/Software Codesign (CODES) (Estes Park, 2002)
go back to reference L. Benini, A. Macii, E. Macii, M. Poncino, Increasing energy efficiency of embedded systems by application-specific memory hierarchy generation. IEEE Design Test Comput. 17(2), 74–85 (2000)CrossRefMATH L. Benini, A. Macii, E. Macii, M. Poncino, Increasing energy efficiency of embedded systems by application-specific memory hierarchy generation. IEEE Design Test Comput. 17(2), 74–85 (2000)CrossRefMATH
go back to reference D. Blaauw, et al., Razor II: in situ error detection and correction for PVT and SER tolerance, in IEEE International Solid-State Circuits Conference, 2008. ISSCC 2008. Digest of Technical Papers (IEEE, 2008) D. Blaauw, et al., Razor II: in situ error detection and correction for PVT and SER tolerance, in IEEE International Solid-State Circuits Conference, 2008. ISSCC 2008. Digest of Technical Papers (IEEE, 2008)
go back to reference D. Bol, J. De Vos, C. Hocquet, F. Botman, F. Durvaux, S. Boyd, D. Flandre, J. Legat, SleepWalker: a 25-MHz 0.4-V Sub-mm 2 7-μW/MHz microcontroller in 65-nm LP/GP CMOS for low-carbon wireless sensor nodes. IEEE J. Solid-State Circuits 48(1), 20–32 (2013)CrossRef D. Bol, J. De Vos, C. Hocquet, F. Botman, F. Durvaux, S. Boyd, D. Flandre, J. Legat, SleepWalker: a 25-MHz 0.4-V Sub-mm 2 7-μW/MHz microcontroller in 65-nm LP/GP CMOS for low-carbon wireless sensor nodes. IEEE J. Solid-State Circuits 48(1), 20–32 (2013)CrossRef
go back to reference D. Bortolotti, D. Rossi, A. Bartolini, L. Benini, A variation tolerant architecture for ultra low power multi-processor cluster, in 2013 23rd International Workshop on Power and Timing Modeling, Optimization and Simulation (PATMOS) (Karlsruhe, 2013), pp. 32–38 D. Bortolotti, D. Rossi, A. Bartolini, L. Benini, A variation tolerant architecture for ultra low power multi-processor cluster, in 2013 23rd International Workshop on Power and Timing Modeling, Optimization and Simulation (PATMOS) (Karlsruhe, 2013), pp. 32–38
go back to reference B.H. Calhoun, A.P. Chandrakasan, Standby power reduction using dynamic voltage scaling and canary flip-flop structures. IEEE J. Solid-State Circuits 39(9), 1504–1511 (2004)CrossRef B.H. Calhoun, A.P. Chandrakasan, Standby power reduction using dynamic voltage scaling and canary flip-flop structures. IEEE J. Solid-State Circuits 39(9), 1504–1511 (2004)CrossRef
go back to reference F. Conti, L. Benini, A ultra-low-energy convolution engine for fast brain-inspired vision in multicore clusters, in Design, Automation & Test in Europe Conference & Exhibition (9–13 Mar 2015), pp. 683–688 F. Conti, L. Benini, A ultra-low-energy convolution engine for fast brain-inspired vision in multicore clusters, in Design, Automation & Test in Europe Conference & Exhibition (9–13 Mar 2015), pp. 683–688
go back to reference D.E. Culler, J.P. Singh, Parallel Computer Architecture, a hw/sw Approach (Morgan Kaufmann, San Francisco, 1999) D.E. Culler, J.P. Singh, Parallel Computer Architecture, a hw/sw Approach (Morgan Kaufmann, San Francisco, 1999)
go back to reference Cypress, Designing for low power and estimating battery life for BLE applications, AN92584 Rev. C Application Note (Mar. 2016) Cypress, Designing for low power and estimating battery life for BLE applications, AN92584 Rev. C Application Note (Mar. 2016)
go back to reference C.E. Molnar, R.F. Sproull, I.E. Sutherland, The counterflow pipeline processor architecture. IEEE Des. Test Comput. 11, 48–59 (1994) C.E. Molnar, R.F. Sproull, I.E. Sutherland, The counterflow pipeline processor architecture. IEEE Des. Test Comput. 11, 48–59 (1994)
go back to reference H. De Groot, IoT and the cloud: a hacked personality and an empty battery head-ache or an intuitive environment to make our lives easier? S3S (2015) H. De Groot, IoT and the cloud: a hacked personality and an empty battery head-ache or an intuitive environment to make our lives easier? S3S (2015)
go back to reference M. Dehyadegari, A. Marongiu, M.R. Kakoee, S. Mohammadi, N. Yazdani, L. Benini, Architecture support for tightly-coupled multi-core clusters with shared-memory HW accelerators. IEEE Trans. Comput. 64(8), 2132–2144 (2015)MathSciNetCrossRef M. Dehyadegari, A. Marongiu, M.R. Kakoee, S. Mohammadi, N. Yazdani, L. Benini, Architecture support for tightly-coupled multi-core clusters with shared-memory HW accelerators. IEEE Trans. Comput. 64(8), 2132–2144 (2015)MathSciNetCrossRef
go back to reference A.Y. Dogan, D. Atienza, A. Burg, I. Loi, L. Benini, Power/performance exploration of single-core and multi-core processor approaches for biomedical signal processing, in Proceedings of 21st International Workshop, PATMOS 2011 (Madrid, 26–29 Sept. 2011), pp. 102–111 A.Y. Dogan, D. Atienza, A. Burg, I. Loi, L. Benini, Power/performance exploration of single-core and multi-core processor approaches for biomedical signal processing, in Proceedings of 21st International Workshop, PATMOS 2011 (Madrid, 26–29 Sept. 2011), pp. 102–111
go back to reference R.G. Dreslinski, M. Wieckowski, D. Blaauw, D. Sylvester, T. Mudge, Near-threshold computing: reclaiming Moore’s law through energy efficient integrated circuits. Proc. IEEE 98(2), 253–266 (2010)CrossRef R.G. Dreslinski, M. Wieckowski, D. Blaauw, D. Sylvester, T. Mudge, Near-threshold computing: reclaiming Moore’s law through energy efficient integrated circuits. Proc. IEEE 98(2), 253–266 (2010)CrossRef
go back to reference D. Ernst et al., Razor: a low-power pipeline based on circuit-level timing speculation, in Proceedings of 36th Annual IEEE/ACM International Symposium on Microarchitecture, 2003. MICRO-36 (2003), pp. 7–18 D. Ernst et al., Razor: a low-power pipeline based on circuit-level timing speculation, in Proceedings of 36th Annual IEEE/ACM International Symposium on Microarchitecture, 2003. MICRO-36 (2003), pp. 7–18
go back to reference D. Ernst, S. Das, S. Lee, D. Blaauw, T. Austin, T. Mudge, N.S. Kim, Razor: circuit-level correction of timing errors for low-power operation. IEEE Micro 34(6), 10–20 (2005) D. Ernst, S. Das, S. Lee, D. Blaauw, T. Austin, T. Mudge, N.S. Kim, Razor: circuit-level correction of timing errors for low-power operation. IEEE Micro 34(6), 10–20 (2005)
go back to reference D. Fick et al., Centip3De: a 3930DMIPS/W configurable near-threshold 3D stacked system with 64 ARM Cortex-M3 cores. in 2012 I.E. International Solid-State Circuits Conference (San Francisco, 2012), pp. 190–192 D. Fick et al., Centip3De: a 3930DMIPS/W configurable near-threshold 3D stacked system with 64 ARM Cortex-M3 cores. in 2012 I.E. International Solid-State Circuits Conference (San Francisco, 2012), pp. 190–192
go back to reference G. Gammie, N. Ickes, M.E. Sinangil, R. Rithe, J. Gu, A. Wang, H. Mair, S. Datla, B. Rong, S. Honnavara-Prasad, L. Ho, G. Baldwin, D. Buss, A.P. Chandrakasan, Uming Ko, A 28 nm 0.6 V low-power DSP for mobile applications, in 2011 I.E. International Solid-State Circuits Conference Digest of Technical Papers (ISSCC) (20–24 Feb. 2011), pp. 132–134 G. Gammie, N. Ickes, M.E. Sinangil, R. Rithe, J. Gu, A. Wang, H. Mair, S. Datla, B. Rong, S. Honnavara-Prasad, L. Ho, G. Baldwin, D. Buss, A.P. Chandrakasan, Uming Ko, A 28 nm 0.6 V low-power DSP for mobile applications, in 2011 I.E. International Solid-State Circuits Conference Digest of Technical Papers (ISSCC) (20–24 Feb. 2011), pp. 132–134
go back to reference M. Gautschi, D. Rossi, L. Benini, Customizing an open source processor to fit in an ultra-low power cluster with a shared L1 memory, in Proceedings of the 24th Edition of the Great Lakes Symposium on VLSI-GLSVLSI’14 (2014), pp. 87–88 M. Gautschi, D. Rossi, L. Benini, Customizing an open source processor to fit in an ultra-low power cluster with a shared L1 memory, in Proceedings of the 24th Edition of the Great Lakes Symposium on VLSI-GLSVLSI’14 (2014), pp. 87–88
go back to reference M. Gautschi, A. Traber, A. Pullini, L. Benini, M. Scandale, A. Di Federico, M. Beretta, G. Agosta, Tailoring instruction-set extensions for an ultra-low power tightly-coupled cluster of OpenRISC cores, in IFIP/IEEE International Conference on Very Large Scale Integration (VLSI-SoC) (October 2015) M. Gautschi, A. Traber, A. Pullini, L. Benini, M. Scandale, A. Di Federico, M. Beretta, G. Agosta, Tailoring instruction-set extensions for an ultra-low power tightly-coupled cluster of OpenRISC cores, in IFIP/IEEE International Conference on Very Large Scale Integration (VLSI-SoC) (October 2015)
go back to reference A. Hannun, Deep speech: scaling up end-to-end speech recognition, arXiv (2014) A. Hannun, Deep speech: scaling up end-to-end speech recognition, arXiv (2014)
go back to reference S. Hsu, A. Agarwal, M. Anders, S. Mathew, H. Kaul, F. Sheikh, R. Krishnamurthy, A 280 mV-to-1.1 V 256b reconfigurable SIMD vector permutation engine with 2-dimensional shuffle in 22 nm CMOS, in 2012 I.E. International Solid-State Circuits Conference Digest of Technical Papers (ISSCC) (19–23 Feb. 2012), pp. 178–180 S. Hsu, A. Agarwal, M. Anders, S. Mathew, H. Kaul, F. Sheikh, R. Krishnamurthy, A 280 mV-to-1.1 V 256b reconfigurable SIMD vector permutation engine with 2-dimensional shuffle in 22 nm CMOS, in 2012 I.E. International Solid-State Circuits Conference Digest of Technical Papers (ISSCC) (19–23 Feb. 2012), pp. 178–180
go back to reference N. Ickes, Y. Sinangil, F. Pappalardo, E. Guidetti, A. P. Chandrakasan, “A 10 pJ/cycle ultra-low-voltage 32-bit microprocessor system-on-chip, in 2011 Proceedings of the ESSCIRC (ESSCIRC) (Helsinki, 2011), pp. 159–162 N. Ickes, Y. Sinangil, F. Pappalardo, E. Guidetti, A. P. Chandrakasan, “A 10 pJ/cycle ultra-low-voltage 32-bit microprocessor system-on-chip, in 2011 Proceedings of the ESSCIRC (ESSCIRC) (Helsinki, 2011), pp. 159–162
go back to reference D. Jeon, Y. Kim, I. Lee, Z. Zhang, D. Blaauw, D. Sylvester, A 470 mV 2.7 mW feature extraction-accelerator for micro-autonomous vehicle navigation in 28 nm CMOS, in 2013 I.E. International Solid-State Circuits Conference Digest of Technical Papers (ISSCC) (17–21 Feb. 2013), pp. 166–167 D. Jeon, Y. Kim, I. Lee, Z. Zhang, D. Blaauw, D. Sylvester, A 470 mV 2.7 mW feature extraction-accelerator for micro-autonomous vehicle navigation in 28 nm CMOS, in 2013 I.E. International Solid-State Circuits Conference Digest of Technical Papers (ISSCC) (17–21 Feb. 2013), pp. 166–167
go back to reference G. Kalokerinos, V. Papaefstathiou, G. Nikiforos, S. Kavadias, Ma. Katevenis, D. Pnevmatikatos, X. Yang, FPGA implementation of a configurable cache/scratchpad memory with virtualized user-level RDMA capability, in International Symposium on Systems, Architectures, Modeling, and Simulation, 2009. SAMOS ’09 (Samos, 2009), pp. 149–156 G. Kalokerinos, V. Papaefstathiou, G. Nikiforos, S. Kavadias, Ma. Katevenis, D. Pnevmatikatos, X. Yang, FPGA implementation of a configurable cache/scratchpad memory with virtualized user-level RDMA capability, in International Symposium on Systems, Architectures, Modeling, and Simulation, 2009. SAMOS ’09 (Samos, 2009), pp. 149–156
go back to reference I. Loi, D. Rossi, G. Haugou, Exploring multi-banked shared-L1 program cache on ultra-low power, tightly coupled processor clusters, in Proceedings of the 11th ACM Conference on Computing Frontiers-CF ’15 (July 2015), pp. 1–10 I. Loi, D. Rossi, G. Haugou, Exploring multi-banked shared-L1 program cache on ultra-low power, tightly coupled processor clusters, in Proceedings of the 11th ACM Conference on Computing Frontiers-CF ’15 (July 2015), pp. 1–10
go back to reference M.M.K. Martin, M.D. Hill, D.J. Sorin, Why on-chip cache coherence is here to stay. Commun. ACM 55(7), 78–89 (2012)CrossRef M.M.K. Martin, M.D. Hill, D.J. Sorin, Why on-chip cache coherence is here to stay. Commun. ACM 55(7), 78–89 (2012)CrossRef
go back to reference P. Meinerzhagen, C. Roth, A. Burg, Towards generic lowpower area-efficient standard cell based memory architectures, in Proceedings of IEEE International Midwest Symposium on Circuits and Systems (Aug. 2010), pp. 129–132 P. Meinerzhagen, C. Roth, A. Burg, Towards generic lowpower area-efficient standard cell based memory architectures, in Proceedings of IEEE International Midwest Symposium on Circuits and Systems (Aug. 2010), pp. 129–132
go back to reference R. Memisevic, Deep learning architectures, algorithms and applications, in Hot Chips: A Symposium on High Performance Chips (Cupertino, 23–25 Aug. 2015) R. Memisevic, Deep learning architectures, algorithms and applications, in Hot Chips: A Symposium on High Performance Chips (Cupertino, 23–25 Aug. 2015)
go back to reference Microchip, Analog-to-digital converter with computation technical brief. TB3146 Application Note (Aug. 2016) Microchip, Analog-to-digital converter with computation technical brief. TB3146 Application Note (Aug. 2016)
go back to reference ST Microelectronics, STM32L4x5 advanced ARM®-based 32-bit MCUs. RM0395 Reference Manual (Feb. 2016) ST Microelectronics, STM32L4x5 advanced ARM®-based 32-bit MCUs. RM0395 Reference Manual (Feb. 2016)
go back to reference I. Miro-Panades, E. Beignè, Y. Thonnart, L. Alacoque, P. Vivet, S. Lesecq, D. Puschini, A. Molnos, F. Thabet, B. Tain, K.B. Chehida, S. Engels, R. Wilson, D. Fuin, A fine-grain variation-aware dynamic Vdd-hopping AVFS architecture on a 32 nm GALS MPSoC. IEEE J. Solid-State Circuits 49(7), 1475–1486 (2014)CrossRef I. Miro-Panades, E. Beignè, Y. Thonnart, L. Alacoque, P. Vivet, S. Lesecq, D. Puschini, A. Molnos, F. Thabet, B. Tain, K.B. Chehida, S. Engels, R. Wilson, D. Fuin, A fine-grain variation-aware dynamic Vdd-hopping AVFS architecture on a 32 nm GALS MPSoC. IEEE J. Solid-State Circuits 49(7), 1475–1486 (2014)CrossRef
go back to reference NXP Semiconductors. 2015. LPC5410X Product Data Sheet. NXP. Rev 2.2 NXP Semiconductors. 2015. LPC5410X Product Data Sheet. NXP. Rev 2.2
go back to reference J. Park, I. Hong, G. Kim, Y. Kim, K. Lee, S. Park, K. Bong, H.-J. Yoo, A 646GOPS/W multi-classifier many-core processor with cortex-like architecture for super-resolution recognition, in 2013 I.E. International Solid-State Circuits Conference Digest of Technical Papers (ISSCC) (17–21 Feb. 2013), pp. 168–169 J. Park, I. Hong, G. Kim, Y. Kim, K. Lee, S. Park, K. Bong, H.-J. Yoo, A 646GOPS/W multi-classifier many-core processor with cortex-like architecture for super-resolution recognition, in 2013 I.E. International Solid-State Circuits Conference Digest of Technical Papers (ISSCC) (17–21 Feb. 2013), pp. 168–169
go back to reference J. Parkhurst, J. Darringer, B. Grundmann, From single core to multi-core: preparing for a new exponential, in 2006 IEEE/ACM International Conference on Computer Aided Design (San Jose, CA, 2006), pp. 67–72 J. Parkhurst, J. Darringer, B. Grundmann, From single core to multi-core: preparing for a new exponential, in 2006 IEEE/ACM International Conference on Computer Aided Design (San Jose, CA, 2006), pp. 67–72
go back to reference Peter Greenhalgh, big.LITTLE processing with ARM Cortex-A15 & Cortex-A7. Technical Report (ARM Ltd., 2011) Peter Greenhalgh, big.LITTLE processing with ARM Cortex-A15 & Cortex-A7. Technical Report (ARM Ltd., 2011)
go back to reference A. Rahimi, I. Loi, M.R. Kakoee, L. Benini, A fully-synthesizable single-cycle interconnection network for Shared-L1 processor clusters, in Design, Automation & Test in Europe Conference & Exhibition (Mar. 2011), pp. 1–6 A. Rahimi, I. Loi, M.R. Kakoee, L. Benini, A fully-synthesizable single-cycle interconnection network for Shared-L1 processor clusters, in Design, Automation & Test in Europe Conference & Exhibition (Mar. 2011), pp. 1–6
go back to reference Renesas, RL78/G13 Rev. 3.20 User’s Manual Hardware (July 2014) Renesas, RL78/G13 Rev. 3.20 User’s Manual Hardware (July 2014)
go back to reference D. Rossi, I. Loi, G. Haugou, L. Benini, Ultra-low-latency lightweight DMA for tightly coupled multi-core clusters, in Proceedings of the 11th ACM Conference on Computing Frontiers—CF ’14 (July 2014), pp. 1–10 D. Rossi, I. Loi, G. Haugou, L. Benini, Ultra-low-latency lightweight DMA for tightly coupled multi-core clusters, in Proceedings of the 11th ACM Conference on Computing Frontiers—CF ’14 (July 2014), pp. 1–10
go back to reference D. Rossi, A. Pullini, I. Loi, M. Gautschi, F.K. Gurkaynak, A. Bartolini, P. Flatresse, L. Benini, A 60 GOPS/W,−1.8 V to 0.9 V body bias ULP cluster in 28 nm UTBB FD-SOI technology. Solid-State Electron. 117, 170–184 (2016a)CrossRef D. Rossi, A. Pullini, I. Loi, M. Gautschi, F.K. Gurkaynak, A. Bartolini, P. Flatresse, L. Benini, A 60 GOPS/W,−1.8 V to 0.9 V body bias ULP cluster in 28 nm UTBB FD-SOI technology. Solid-State Electron. 117, 170–184 (2016a)CrossRef
go back to reference D. Rossi, A. Pullini, I. Loi, M. Gautschi, F.K. Gurkaynak, A. Teman, J. Constantin, A. Burg, I.M. Panades, E. Beignè, F. Clermidy, F. Abouzeid, P. Flatresse, L. Benini, 193 MOPS/mW @ 162 MOPS, 0.32V to 1.15V voltage range multi-core accelerator for energy-efficient parallel and sequential digital processing, Cool Chips (2016b) D. Rossi, A. Pullini, I. Loi, M. Gautschi, F.K. Gurkaynak, A. Teman, J. Constantin, A. Burg, I.M. Panades, E. Beignè, F. Clermidy, F. Abouzeid, P. Flatresse, L. Benini, 193 MOPS/mW @ 162 MOPS, 0.32V to 1.15V voltage range multi-core accelerator for energy-efficient parallel and sequential digital processing, Cool Chips (2016b)
go back to reference O. Russakovsky, ImageNet large scale visual recognition challenge. Int. J. Comput. Vis (2014) O. Russakovsky, ImageNet large scale visual recognition challenge. Int. J. Comput. Vis (2014)
go back to reference E. Stotzer, A. Jayaraj, M. Ali, A. Friedmann, G. Mitra, A. P. Rendell, I. Lintault, OpenMP on the low-power TI keystone II ARM/DSP system-on-chip, in OpenMP in the Era of Low Power Devices and Accelerators (2013) E. Stotzer, A. Jayaraj, M. Ali, A. Friedmann, G. Mitra, A. P. Rendell, I. Lintault, OpenMP on the low-power TI keystone II ARM/DSP system-on-chip, in OpenMP in the Era of Low Power Devices and Accelerators (2013)
go back to reference A. Teman, D. Rossi, P. Meinerzhagen, L. Benini, A. Burg, Controlled placement of standard cell memory arrays for high density and low power in 28 nm FD-SOI, in 20th Asia and South Pacific Design Automation Conference (ASP-DAC), 19–22 January, 2015 (2015), pp. 81–86 A. Teman, D. Rossi, P. Meinerzhagen, L. Benini, A. Burg, Controlled placement of standard cell memory arrays for high density and low power in 28 nm FD-SOI, in 20th Asia and South Pacific Design Automation Conference (ASP-DAC), 19–22 January, 2015 (2015), pp. 81–86
go back to reference Texas Instruments, Low-power FRAM microcontrollers and their applications, SLAA502 White Paper (June 2011) Texas Instruments, Low-power FRAM microcontrollers and their applications, SLAA502 White Paper (June 2011)
go back to reference J.W. Tschanz et al., Adaptive body bias for reducing impacts of die-to-die and within-die parameter variations on microprocessor frequency and leakage. IEEE J. Solid-State Circuits 37(11), 1396–1402 (2002)CrossRef J.W. Tschanz et al., Adaptive body bias for reducing impacts of die-to-die and within-die parameter variations on microprocessor frequency and leakage. IEEE J. Solid-State Circuits 37(11), 1396–1402 (2002)CrossRef
go back to reference J. Tschanz et al., Adaptive frequency and biasing techniques for tolerance to dynamic temperature-voltage variations and aging, in IEEE International Solid-State Circuits Conference, 2007. ISSCC 2007. Digest of Technical Papers (11–15 Feb. 2007), pp. 292–604 J. Tschanz et al., Adaptive frequency and biasing techniques for tolerance to dynamic temperature-voltage variations and aging, in IEEE International Solid-State Circuits Conference, 2007. ISSCC 2007. Digest of Technical Papers (11–15 Feb. 2007), pp. 292–604
go back to reference R. Wilson et al., A 460MHz at 397mV, 2.6GHz at 1.3V, 32b VLIW DSP, embedding FMAX tracking, in 2014 I.E. International Solid-State Circuits Conference Digest of Technical Papers (ISSCC) (San Francisco, 2014), pp. 452–453 R. Wilson et al., A 460MHz at 397mV, 2.6GHz at 1.3V, 32b VLIW DSP, embedding FMAX tracking, in 2014 I.E. International Solid-State Circuits Conference Digest of Technical Papers (ISSCC) (San Francisco, 2014), pp. 452–453
go back to reference M. Wu, R. Iyer, Y. Hoskote, S. Zhang, B. Deadman, M. Bhartiya, Y. Satish, Design of an ultra-low Power SoC testchip for wearables & IOT, in Hot Chips: A Symposium on High Performance Chips (Cupertino, 23–25 Aug. 2015) M. Wu, R. Iyer, Y. Hoskote, S. Zhang, B. Deadman, M. Bhartiya, Y. Satish, Design of an ultra-low Power SoC testchip for wearables & IOT, in Hot Chips: A Symposium on High Performance Chips (Cupertino, 23–25 Aug. 2015)
go back to reference A. Yakovlev, D. Pivonka, T. Meng, A. Poon, A mm-sized wirelessly powered and remotely controlled locomotive implantable device, in 2012 I.E. International Solid-State Circuits Conference Digest of Technical Papers (ISSCC) (19–23 Feb. 2012), pp. 302–304 A. Yakovlev, D. Pivonka, T. Meng, A. Poon, A mm-sized wirelessly powered and remotely controlled locomotive implantable device, in 2012 I.E. International Solid-State Circuits Conference Digest of Technical Papers (ISSCC) (19–23 Feb. 2012), pp. 302–304
go back to reference J. Yoo, Y. Long, D. El-Damak, M. Bin Altaf, A. Shoeb, Y. Hoi-Jun, A. Chandrakasan, An 8-channel scalable EEG acquisition SoC with fully integrated patient-specific seizure classification and recording processor, in 2012 I.E. International Solid-State Circuits Conference Digest of Technical Papers (ISSCC) (19–23 Feb. 2012), pp. 292–294 J. Yoo, Y. Long, D. El-Damak, M. Bin Altaf, A. Shoeb, Y. Hoi-Jun, A. Chandrakasan, An 8-channel scalable EEG acquisition SoC with fully integrated patient-specific seizure classification and recording processor, in 2012 I.E. International Solid-State Circuits Conference Digest of Technical Papers (ISSCC) (19–23 Feb. 2012), pp. 292–294
go back to reference F. Zhang, Y. Zhang, J. Silver, Y. Shakhsheer, M. Nagaraju, A. Klinefelter, J. Pandey, J. Boley, E. Carlson, A. Shrivastava, B. Otis, B. Calhoun, A batteryless 19 μW MICS/ISM-band energy harvesting body area sensor node SoC, in 2012 I.E. International Solid-State Circuits Conference Digest of Technical Papers (ISSCC). (Feb. 2012), pp. 298–300 F. Zhang, Y. Zhang, J. Silver, Y. Shakhsheer, M. Nagaraju, A. Klinefelter, J. Pandey, J. Boley, E. Carlson, A. Shrivastava, B. Otis, B. Calhoun, A batteryless 19 μW MICS/ISM-band energy harvesting body area sensor node SoC, in 2012 I.E. International Solid-State Circuits Conference Digest of Technical Papers (ISSCC). (Feb. 2012), pp. 298–300
Metadata
Title
Ultra-Low-Power Digital Architectures for the Internet of Things
Authors
Davide Rossi
Igor Loi
Antonio Pullini
Luca Benini
Copyright Year
2017
DOI
https://doi.org/10.1007/978-3-319-51482-6_3