Skip to main content
Top

2018 | OriginalPaper | Chapter

13. Very Large-Scale Neuromorphic Systems for Biological Signal Processing

Authors : Francky Catthoor, Srinjoy Mitra, Anup Das, Siebren Schaafsma

Published in: CMOS Circuits for Biological Sensing and Processing

Publisher: Springer International Publishing

Activate our intelligent search to find suitable subject content or patents.

search-config
loading …

Abstract

This chapter is a white paper describing a platform for scaled-up neuromorphic systems to ‘human brain size’ complexity. Such a system will be necessary for massive search and analysis tasks while interacting with biological data. This system would consist of similar number of neurons and synapses as in an adult human brain. One of the largest bottlenecks is the huge synaptic complexity that would result from connecting billions of neurons. The purpose of this chapter is to describe a feasible architecture that could handle the enormous communication bandwidth necessary for such a large-scale neuromorphic system. The proposed approach is grounded in the assumption that we would only be able to appreciate the utility of a neuromorphic system when it is somewhat similar to the human brain in terms of energy consumption and size. Inspired by the recent advancements in SoC architecture, a novel scalable intercluster communication network is proposed here. A particularly useful instantiation of this occurs for the global synaptic communication, interconnecting the local clusters of synapse arrays. The core of the proposed solution is a novel switching architecture in the CMOS back end of line (BEOL) that is expected to be extremely power efficient. In contrast to a fixed predefined bus that is shared over all connected local clusters, the proposed solution will allow a multitude of dedicated point-to-point connections that can be switched dynamically.

Dont have a licence yet? Then find out more about our products and how to get one now:

Springer Professional "Wirtschaft+Technik"

Online-Abonnement

Mit Springer Professional "Wirtschaft+Technik" erhalten Sie Zugriff auf:

  • über 102.000 Bücher
  • über 537 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Maschinenbau + Werkstoffe
  • Versicherung + Risiko

Jetzt Wissensvorsprung sichern!

Springer Professional "Technik"

Online-Abonnement

Mit Springer Professional "Technik" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 390 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Maschinenbau + Werkstoffe




 

Jetzt Wissensvorsprung sichern!

Footnotes
1
A homogeneous network of tightly coupled data processing units (DPUs) called cells or nodes.
 
Literature
1.
go back to reference C. Mead, Analog VLSI and Neural Systems (Addison-Wesley, Reading, 1989)MATH C. Mead, Analog VLSI and Neural Systems (Addison-Wesley, Reading, 1989)MATH
2.
go back to reference M. Mahowald, VLSI Analogs of Neuronal Visual Processing: A Synthesis of Form and Function (California Institute of Technology, Pasadena, 1992) M. Mahowald, VLSI Analogs of Neuronal Visual Processing: A Synthesis of Form and Function (California Institute of Technology, Pasadena, 1992)
3.
go back to reference R. Serrano-Gotarredona, M. Oster, P. Lichtsteiner, A. Linares-Barranco, R. Paz-Vicente, F. Gomez-Rodriguez, L. Camunas-Mesa, R. Berner, M. Rivas-Perez, T. Delbruck, S.C. Liu, R. Douglas, P. Hafliger, G. Jimenez-Moreno, A. Civit Ballcels, T. Serrano-Gotarredona, A.J. Acosta-Jimenez, B. Linares-Barranco, CAVIAR: A 45k neuron, 5M synapse, 12G connects/s AER hardware sensory-processing-learning-actuating system for high-speed visual object recognition and tracking. IEEE Trans. Neural Netw. 20(9), 1417–1438 (2009)CrossRef R. Serrano-Gotarredona, M. Oster, P. Lichtsteiner, A. Linares-Barranco, R. Paz-Vicente, F. Gomez-Rodriguez, L. Camunas-Mesa, R. Berner, M. Rivas-Perez, T. Delbruck, S.C. Liu, R. Douglas, P. Hafliger, G. Jimenez-Moreno, A. Civit Ballcels, T. Serrano-Gotarredona, A.J. Acosta-Jimenez, B. Linares-Barranco, CAVIAR: A 45k neuron, 5M synapse, 12G connects/s AER hardware sensory-processing-learning-actuating system for high-speed visual object recognition and tracking. IEEE Trans. Neural Netw. 20(9), 1417–1438 (2009)CrossRef
4.
go back to reference S. Mitra, S. Fusi, G. Indiveri, Real-time classification of complex patterns using spike-based learning in neuromorphic VLSI. IEEE Trans. Biomed. Circuits Syst. 3(1), 32–42 (2009)CrossRef S. Mitra, S. Fusi, G. Indiveri, Real-time classification of complex patterns using spike-based learning in neuromorphic VLSI. IEEE Trans. Biomed. Circuits Syst. 3(1), 32–42 (2009)CrossRef
5.
go back to reference S.C. Liu, A. Van Schaik, B.A. Minch, T. Delbruck, Asynchronous binaural spatial audition sensor with 2??64??4 channel output. IEEE Trans. Biomed. Circuits Syst. 8(4), 453–464 (2014)CrossRef S.C. Liu, A. Van Schaik, B.A. Minch, T. Delbruck, Asynchronous binaural spatial audition sensor with 2??64??4 channel output. IEEE Trans. Biomed. Circuits Syst. 8(4), 453–464 (2014)CrossRef
6.
go back to reference J. Hasler, B. Marr, Finding a roadmap to achieve large neuromorphic hardware systems. Front. Neurosci. 7(7), 1–29 (2013) J. Hasler, B. Marr, Finding a roadmap to achieve large neuromorphic hardware systems. Front. Neurosci. 7(7), 1–29 (2013)
7.
go back to reference S. Furber, Large-scale neuromorphic computing systems. J. Neural Eng. 13(5), 51001 (2016)CrossRef S. Furber, Large-scale neuromorphic computing systems. J. Neural Eng. 13(5), 51001 (2016)CrossRef
8.
go back to reference B. Pakkenberg, D. Pelvig, L. Marner, M.J. Bundgaard, H.J.G. Gundersen, J.R. Nyengaard, L. Regeur, Aging and the human neocortex. Exp. Gerontol. 38(1–2), 95–99 (2003)CrossRef B. Pakkenberg, D. Pelvig, L. Marner, M.J. Bundgaard, H.J.G. Gundersen, J.R. Nyengaard, L. Regeur, Aging and the human neocortex. Exp. Gerontol. 38(1–2), 95–99 (2003)CrossRef
11.
go back to reference S. Scholze, H. Eisenreich, S. Hoppner, G. Ellguth, S. Henker, M. Ander, S. Hanzsche, J. Partzsch, C. Mayr, R. Schuffny, A 32 GBit/s communication SoC for a waferscale neuromorphic system. Integr. VLSI J. 45(1), 61–75 (2012)CrossRef S. Scholze, H. Eisenreich, S. Hoppner, G. Ellguth, S. Henker, M. Ander, S. Hanzsche, J. Partzsch, C. Mayr, R. Schuffny, A 32 GBit/s communication SoC for a waferscale neuromorphic system. Integr. VLSI J. 45(1), 61–75 (2012)CrossRef
12.
go back to reference B.V. Benjamin, P. Gao, E. McQuinn, S. Choudhary, A.R. Chandrasekaran, J.M. Bussat, R. Alvarez-Icaza, J.V. Arthur, P.A. Merolla, K. Boahen, Neurogrid: A mixed-analog-digital multichip system for large-scale neural simulations. Proc. IEEE 102(5), 699–716 (2014)CrossRef B.V. Benjamin, P. Gao, E. McQuinn, S. Choudhary, A.R. Chandrasekaran, J.M. Bussat, R. Alvarez-Icaza, J.V. Arthur, P.A. Merolla, K. Boahen, Neurogrid: A mixed-analog-digital multichip system for large-scale neural simulations. Proc. IEEE 102(5), 699–716 (2014)CrossRef
13.
go back to reference S.B. Furber, F. Galluppi, S. Temple, L.A. Plana, The SpiNNaker project. Proc. IEEE 102(5), 652–665 (2014)CrossRef S.B. Furber, F. Galluppi, S. Temple, L.A. Plana, The SpiNNaker project. Proc. IEEE 102(5), 652–665 (2014)CrossRef
14.
go back to reference C. Eliasmith, T.C. Stewart, X. Choo, T. Bekolay, T. Dewolf, Y. Tang, D. Rasmussen, A large-scale model of the functioning brain. Science (80-. ) 338, 1202–1205 (2012)CrossRef C. Eliasmith, T.C. Stewart, X. Choo, T. Bekolay, T. Dewolf, Y. Tang, D. Rasmussen, A large-scale model of the functioning brain. Science (80-. ) 338, 1202–1205 (2012)CrossRef
15.
go back to reference T.M. Wong, R. Preissl, P. Datta, M.D. Flickner, R. Singh, S.K. Esser, E. McQuinn, R. Appuswamy, W.P. Risk, H.D. Simon, D.S. Modha, IBM internal Research Report 10 14. 10502, 1–3 (2012) T.M. Wong, R. Preissl, P. Datta, M.D. Flickner, R. Singh, S.K. Esser, E. McQuinn, R. Appuswamy, W.P. Risk, H.D. Simon, D.S. Modha, IBM internal Research Report 10 14. 10502, 1–3 (2012)
16.
go back to reference P.a. Merolla, J.V. Arthur, R. Alvarez-Icaza, A.S. Cassidy, J. Sawada, F. Akopyan, B.L. Jackson, N. Imam, C. Guo, Y. Nakamura, B. Brezzo, I. Vo, S.K. Esser, R. Appuswamy, B. Taba, A. Amir, M.D. Flickner, W.P. Risk, R. Manohar, D.S. Modha, A million spiking-neuron integrated circuit with a scalable communication network and interface. Science (80-. ). 345(6197), 668–673 (2014)CrossRef P.a. Merolla, J.V. Arthur, R. Alvarez-Icaza, A.S. Cassidy, J. Sawada, F. Akopyan, B.L. Jackson, N. Imam, C. Guo, Y. Nakamura, B. Brezzo, I. Vo, S.K. Esser, R. Appuswamy, B. Taba, A. Amir, M.D. Flickner, W.P. Risk, R. Manohar, D.S. Modha, A million spiking-neuron integrated circuit with a scalable communication network and interface. Science (80-. ). 345(6197), 668–673 (2014)CrossRef
17.
go back to reference S. Moradi, N. Imam, R. Manohar, G. Indiveri, A memory-efficient routing method for large-scale spiking neural networks. Eur. Conf. Circuit Theory Des. 2013, 1–4 (2013) S. Moradi, N. Imam, R. Manohar, G. Indiveri, A memory-efficient routing method for large-scale spiking neural networks. Eur. Conf. Circuit Theory Des. 2013, 1–4 (2013)
18.
19.
go back to reference T. Serrano-gotarredona, T. Prodromakis, B. Linares-Barranco, A proposal for hybrid memristor-CMOS spiking neuromorphic learning systems. IEEE Circ. Syst. Magaz. 74–88, 2nd quarter (2013) T. Serrano-gotarredona, T. Prodromakis, B. Linares-Barranco, A proposal for hybrid memristor-CMOS spiking neuromorphic learning systems. IEEE Circ. Syst. Magaz. 74–88, 2nd quarter (2013)
20.
go back to reference S.H. Jo, T. Chang, I. Ebong, B.B. Bhadviya, P. Mazumder, W. Lu, Nanoscale memristor device as synapse in neuromorphic systems. Nano Lett. 10(4), 1297–1301 (2010)CrossRef S.H. Jo, T. Chang, I. Ebong, B.B. Bhadviya, P. Mazumder, W. Lu, Nanoscale memristor device as synapse in neuromorphic systems. Nano Lett. 10(4), 1297–1301 (2010)CrossRef
21.
go back to reference K.A. Boahen, Point-to-point connectivity between neuromorphic chips using address events. IEEE Trans. Circuits Syst. II Analog Digit. Signal Process. 47(5), 416–434 (2000)CrossRefMATH K.A. Boahen, Point-to-point connectivity between neuromorphic chips using address events. IEEE Trans. Circuits Syst. II Analog Digit. Signal Process. 47(5), 416–434 (2000)CrossRefMATH
22.
go back to reference C. Gamrat, O. Bichler, D. Roclin, Memristive based device arrays combined with spike based coding can enable efficient implementations of embedded neuromorphic circuits. Tech. Dig. Int. Electron Devices Meet. IEDM 2016, 4.5.1–4.5.7 (2016) C. Gamrat, O. Bichler, D. Roclin, Memristive based device arrays combined with spike based coding can enable efficient implementations of embedded neuromorphic circuits. Tech. Dig. Int. Electron Devices Meet. IEDM 2016, 4.5.1–4.5.7 (2016)
23.
go back to reference G. Piccolboni, G. Molas, J.M. Portal, R. Coquand, M. Bocquet, D. Garbin, E. Vianello, C. Carabasse, V. Delaye, C. Pellissier, T. Magis, C. Cagli, M. Gely, O. Cueto, D. Deleruyelle, G. Ghibaudo, B. De Salvo, L. Perniola, Investigation of the potentialities of vertical resistive RAM (VRRAM) for neuromorphic applications. Tech. Dig. Int. Electron Devices Meet. IEDM 2016, 17.2.1–17.2.4 (2016) G. Piccolboni, G. Molas, J.M. Portal, R. Coquand, M. Bocquet, D. Garbin, E. Vianello, C. Carabasse, V. Delaye, C. Pellissier, T. Magis, C. Cagli, M. Gely, O. Cueto, D. Deleruyelle, G. Ghibaudo, B. De Salvo, L. Perniola, Investigation of the potentialities of vertical resistive RAM (VRRAM) for neuromorphic applications. Tech. Dig. Int. Electron Devices Meet. IEDM 2016, 17.2.1–17.2.4 (2016)
24.
go back to reference G.W. Burr, P. Narayanan, R.M. Shelby, S. Sidler, I. Boybat, C. Di Nolfo, Y. Leblebici, Large-scale neural networks implemented with non-volatile memory as the synaptic weight element: Comparative performance analysis (accuracy, speed, and power). Tech. Dig. Int. Electron Devices Meet. IEDM 2016(408), 4.4.1–4.4.4 (2016) G.W. Burr, P. Narayanan, R.M. Shelby, S. Sidler, I. Boybat, C. Di Nolfo, Y. Leblebici, Large-scale neural networks implemented with non-volatile memory as the synaptic weight element: Comparative performance analysis (accuracy, speed, and power). Tech. Dig. Int. Electron Devices Meet. IEDM 2016(408), 4.4.1–4.4.4 (2016)
25.
go back to reference S. Kim, M. Ishii, S. Lewis, T. Perri, M. Brightsky, W. Kim, R. Jordan, G.W. Burr, N. Sosa, A. Ray, J. Han, C. Miller, K. Hosokawa, C. Lam, NVM Neuromorphic Core with 64k–cell (256-by-256) Phase Change Memory Synaptic Array with On-Chip Neuron Circuits for Continuous In-Situ Learning. (2015), pp. 443–446 S. Kim, M. Ishii, S. Lewis, T. Perri, M. Brightsky, W. Kim, R. Jordan, G.W. Burr, N. Sosa, A. Ray, J. Han, C. Miller, K. Hosokawa, C. Lam, NVM Neuromorphic Core with 64k–cell (256-by-256) Phase Change Memory Synaptic Array with On-Chip Neuron Circuits for Continuous In-Situ Learning. (2015), pp. 443–446
26.
go back to reference D. Lee, J. Park, K. Moon, J. Jang, S. Park, M. Chu, J. Kim, J. Noh, M. Jeon, B.H. Lee, B. Lee, B.G. Lee, H. Hwang, Oxide based nanoscale analog synapse device for neural signal recognition system. Tech. Dig. - Int. Electron Devices Meet. IEDM 2016, 4.7.1–4.7.4 (2016) D. Lee, J. Park, K. Moon, J. Jang, S. Park, M. Chu, J. Kim, J. Noh, M. Jeon, B.H. Lee, B. Lee, B.G. Lee, H. Hwang, Oxide based nanoscale analog synapse device for neural signal recognition system. Tech. Dig. - Int. Electron Devices Meet. IEDM 2016, 4.7.1–4.7.4 (2016)
27.
go back to reference S.B. Eryilmaz, D. Kuzum, S. Yu, H.S.P. Wong, Device and system level design considerations for analog-non-volatile-memory based neuromorphic architectures. Tech. Dig. Int. Electron Devices Meet. IEDM 2016, 4.1.1–4.1.4 (2016) S.B. Eryilmaz, D. Kuzum, S. Yu, H.S.P. Wong, Device and system level design considerations for analog-non-volatile-memory based neuromorphic architectures. Tech. Dig. Int. Electron Devices Meet. IEDM 2016, 4.1.1–4.1.4 (2016)
28.
go back to reference S. Yu, P.Y. Chen, Y. Cao, L. Xia, Y. Wang, H. Wu, Scaling-up resistive synaptic arrays for neuro-inspired architecture: Challenges and prospect. Tech. Dig. Int. Electron Devices Meet. IEDM 2016, 17.3.1–17.3.4 (2016) S. Yu, P.Y. Chen, Y. Cao, L. Xia, Y. Wang, H. Wu, Scaling-up resistive synaptic arrays for neuro-inspired architecture: Challenges and prospect. Tech. Dig. Int. Electron Devices Meet. IEDM 2016, 17.3.1–17.3.4 (2016)
29.
go back to reference Y. Tang, J.R. Nyengaard, D.M.G. De Groot, H.J.G. Gundersen, Total regional and global number of synapses in the human brain neocortex. Synapse 41(3), 258–273 (2001)CrossRef Y. Tang, J.R. Nyengaard, D.M.G. De Groot, H.J.G. Gundersen, Total regional and global number of synapses in the human brain neocortex. Synapse 41(3), 258–273 (2001)CrossRef
30.
go back to reference G. Indiveri, F. Corradi, N. Qiao, Neuromorphic Architectures for Spiking Deep Neural Networks (IEEE IEDM intnl. conf., Washington DC, 2015), pp. 68–71 G. Indiveri, F. Corradi, N. Qiao, Neuromorphic Architectures for Spiking Deep Neural Networks (IEEE IEDM intnl. conf., Washington DC, 2015), pp. 68–71
31.
go back to reference D. Vainbrand, R. Ginosar, Scalable network-on-chip architecture for configurable neural networks. Microprocess. Microsyst. 35(2), 152–166 (2011)CrossRef D. Vainbrand, R. Ginosar, Scalable network-on-chip architecture for configurable neural networks. Microprocess. Microsyst. 35(2), 152–166 (2011)CrossRef
32.
go back to reference K.K. Hidetomo Kobayashi, T. Ohmaru, S. Yoneda, Processor with 4.9-us Break-even Time in Power Gating Using Crystalline In-Ga-Zn-Oxide Transistor, in Cool Chips Conference (2013) K.K. Hidetomo Kobayashi, T. Ohmaru, S. Yoneda, Processor with 4.9-us Break-even Time in Power Gating Using Crystalline In-Ga-Zn-Oxide Transistor, in Cool Chips Conference (2013)
33.
go back to reference R. Perin, T.K. Berger, H. Markram, A synaptic organizing principle for cortical neuronal groups. Proc. Natl. Acad. Sci. U. S. A. 108(13), 5419–5424 (2011)CrossRef R. Perin, T.K. Berger, H. Markram, A synaptic organizing principle for cortical neuronal groups. Proc. Natl. Acad. Sci. U. S. A. 108(13), 5419–5424 (2011)CrossRef
34.
go back to reference R.B. Levy, A.D. Reyes, Spatial profile of excitatory and inhibitory synaptic connectivity in mouse primary auditory cortex. J. Neurosci. 32(16), 5609–5619 (2012)CrossRef R.B. Levy, A.D. Reyes, Spatial profile of excitatory and inhibitory synaptic connectivity in mouse primary auditory cortex. J. Neurosci. 32(16), 5609–5619 (2012)CrossRef
35.
go back to reference M. Beyeler, K.D. Carlson, T.S. Chou, N. Dutt, J.L. Krichmar, CARLsim 3: A user-friendly and highly optimized library for the creation of neurobiologically detailed spiking neural networks. Proc. Int. Jt. Conf. Neural Netw. 2015 (2015) M. Beyeler, K.D. Carlson, T.S. Chou, N. Dutt, J.L. Krichmar, CARLsim 3: A user-friendly and highly optimized library for the creation of neurobiologically detailed spiking neural networks. Proc. Int. Jt. Conf. Neural Netw. 2015 (2015)
37.
go back to reference A. Leroy, D. Milojevic, D. Verkest, F. Robert, F. Catthoor, Concepts and implementation of spatial division multiplexing for guaranteed throughput in networks-on-chip. IEEE Trans. Comput. 57(9), 1182–1195 (2008)MathSciNetCrossRef A. Leroy, D. Milojevic, D. Verkest, F. Robert, F. Catthoor, Concepts and implementation of spatial division multiplexing for guaranteed throughput in networks-on-chip. IEEE Trans. Comput. 57(9), 1182–1195 (2008)MathSciNetCrossRef
38.
go back to reference K. Heyrman, A. Papanikolaou, F. Gatthoor, P. Veelaert, W. Philips, Control for power gating of wires. IEEE Trans. Very Large Scale Integr. Syst. 18(9), 1287–1300 (2010)CrossRef K. Heyrman, A. Papanikolaou, F. Gatthoor, P. Veelaert, W. Philips, Control for power gating of wires. IEEE Trans. Very Large Scale Integr. Syst. 18(9), 1287–1300 (2010)CrossRef
39.
go back to reference F. Catthoor, P. Raghavan, A. Lambrechts, M. Jayapala, A. Kritikakou, J. Absar, Ultra-low Energy Domain-Specific Instruction-set Processors (Springer, Dordrecht, 2010)CrossRef F. Catthoor, P. Raghavan, A. Lambrechts, M. Jayapala, A. Kritikakou, J. Absar, Ultra-low Energy Domain-Specific Instruction-set Processors (Springer, Dordrecht, 2010)CrossRef
40.
go back to reference S.V. Gheorghita, F. Vandeputte, K. De Bosschere, M. Palkovic, J. Hamers, A. Vandecappelle, S. Mamagkakis, T. Basten, L. Eeckhout, H. Corporaal, F. Catthoor, System-scenario-based design of dynamic embedded systems. ACM Trans. Des. Autom. Electron. Syst. 14(1), 1–45 (2009)CrossRef S.V. Gheorghita, F. Vandeputte, K. De Bosschere, M. Palkovic, J. Hamers, A. Vandecappelle, S. Mamagkakis, T. Basten, L. Eeckhout, H. Corporaal, F. Catthoor, System-scenario-based design of dynamic embedded systems. ACM Trans. Des. Autom. Electron. Syst. 14(1), 1–45 (2009)CrossRef
41.
go back to reference M. Jayapala, F. Barat, T.V. der Aa, F. Catthoor, G. Deconinck, H. Corporaal, Clustered L0 buffer organisation for low energy embedded processors. IEEE Trans. Comput. 54(6) (2005) M. Jayapala, F. Barat, T.V. der Aa, F. Catthoor, G. Deconinck, H. Corporaal, Clustered L0 buffer organisation for low energy embedded processors. IEEE Trans. Comput. 54(6) (2005)
Metadata
Title
Very Large-Scale Neuromorphic Systems for Biological Signal Processing
Authors
Francky Catthoor
Srinjoy Mitra
Anup Das
Siebren Schaafsma
Copyright Year
2018
DOI
https://doi.org/10.1007/978-3-319-67723-1_13