Skip to main content
Erschienen in: Design Automation for Embedded Systems 1-2/2018

16.04.2018

Energy efficient scheduling algorithm for the multicore heterogeneous embedded architectures

verfasst von: P. Anuradha, Hemalatha Rallapalli, G. Narsimha

Erschienen in: Design Automation for Embedded Systems | Ausgabe 1-2/2018

Einloggen

Aktivieren Sie unsere intelligente Suche, um passende Fachinhalte oder Patente zu finden.

search-config
loading …

Abstract

In the world of embedded architectures, energy consumption and the reliable performance are the two important parameters where the limelight of the research is required. When embedded architectures are used as the Internet of Things, these two parameters plays the very important role in the better performance. Several algorithms have been designed for the energy consumption in the embedded architectures and systems. Considering all the characteristics of the systems, a new algorithm called Energy Efficient Scheduling Algorithm for the multi-core heterogeneous embedded architectures has been proposed. This algorithm works on the mechanism of the cognitive theory which is followed to solve many problems in the engineering field. The algorithm uses the principle of the Adaptive Intelligent Mechanism for the energy consumption and performance metrics. The Algorithm has been tested with the different multi-core test beds by incorporating the different features of the embedded architectures. The proposed algorithms have been compared with the existing algorithms and it is tested under the various circumstances.

Sie haben noch keine Lizenz? Dann Informieren Sie sich jetzt über unsere Produkte:

Springer Professional "Wirtschaft+Technik"

Online-Abonnement

Mit Springer Professional "Wirtschaft+Technik" erhalten Sie Zugriff auf:

  • über 102.000 Bücher
  • über 537 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Maschinenbau + Werkstoffe
  • Versicherung + Risiko

Jetzt Wissensvorsprung sichern!

Springer Professional "Technik"

Online-Abonnement

Mit Springer Professional "Technik" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 390 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Maschinenbau + Werkstoffe




 

Jetzt Wissensvorsprung sichern!

Springer Professional "Wirtschaft"

Online-Abonnement

Mit Springer Professional "Wirtschaft" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 340 Zeitschriften

aus folgenden Fachgebieten:

  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Versicherung + Risiko




Jetzt Wissensvorsprung sichern!

Literatur
1.
Zurück zum Zitat Becchi M, Crowley P (2006) Dynamic thread assignment on heterogeneous multiprocessor architectures. In: Proceedings of the 3rd conference on computing frontiers. Computing Frontiers, New York, pp 29–40 Becchi M, Crowley P (2006) Dynamic thread assignment on heterogeneous multiprocessor architectures. In: Proceedings of the 3rd conference on computing frontiers. Computing Frontiers, New York, pp 29–40
2.
Zurück zum Zitat Kumar R et al (2004) Single-ISA heterogeneous multi-core architectures for multithreaded workload performance. In: Proceedings of the 31st annual international symposium on computer architecture. IEEE Computer Society, Washington, p 64 Kumar R et al (2004) Single-ISA heterogeneous multi-core architectures for multithreaded workload performance. In: Proceedings of the 31st annual international symposium on computer architecture. IEEE Computer Society, Washington, p 64
3.
Zurück zum Zitat Li T, Baumberger D, Koufaty DA, Hahn S (2007) Efficient operating system scheduling for performance-asymmetric multicore architectures. In: Proceedings of the 2007 ACM/IEEE conference on supercomputing, New York, NY, USA, No. 53 Li T, Baumberger D, Koufaty DA, Hahn S (2007) Efficient operating system scheduling for performance-asymmetric multicore architectures. In: Proceedings of the 2007 ACM/IEEE conference on supercomputing, New York, NY, USA, No. 53
4.
Zurück zum Zitat Shelepov D, Fedorova A (2008) Scheduling on heterogeneous multicore processors using architectural signatures. In: Proceedings of the workshop on the interaction between operating systems and computer architecture, in conjunction with the 35th International Symposium on Computer Architecture Shelepov D, Fedorova A (2008) Scheduling on heterogeneous multicore processors using architectural signatures. In: Proceedings of the workshop on the interaction between operating systems and computer architecture, in conjunction with the 35th International Symposium on Computer Architecture
5.
Zurück zum Zitat Teodorescu R, Torrellas J (2008) Variation-aware application scheduling and power management for chip multiprocessors. In: Proceedings of the 35th international symposium on computer architecture. IEEE Computer Society, Washington, pp 363–374 Teodorescu R, Torrellas J (2008) Variation-aware application scheduling and power management for chip multiprocessors. In: Proceedings of the 35th international symposium on computer architecture. IEEE Computer Society, Washington, pp 363–374
6.
Zurück zum Zitat Peric M, Cristal A, Cazorla FJ, Gonzalez R, Jimenez DA, Valero M (2007) A flexible heterogeneous multi-core architecture. In: International conference on parallel architecture and compilation techniques Peric M, Cristal A, Cazorla FJ, Gonzalez R, Jimenez DA, Valero M (2007) A flexible heterogeneous multi-core architecture. In: International conference on parallel architecture and compilation techniques
7.
Zurück zum Zitat David A (2017) Scheduling algorithms for asymmetric multi-core processors. In: Distributed, parallel, and cluster computing. arXiv:1702.04028 David A (2017) Scheduling algorithms for asymmetric multi-core processors. In: Distributed, parallel, and cluster computing. arXiv:​1702.​04028
8.
Zurück zum Zitat Winter J (2010) Scalable thread scheduling and global power management for heterogeneous many-core architectures. In: Proceedings of PACT. Vienna, Austria Winter J (2010) Scalable thread scheduling and global power management for heterogeneous many-core architectures. In: Proceedings of PACT. Vienna, Austria
9.
Zurück zum Zitat Lee S, Ro WW (2014) Workload and variation aware thread scheduling for heterogeneous multi-processor. In: IEEE international symposium in consumer electronics Lee S, Ro WW (2014) Workload and variation aware thread scheduling for heterogeneous multi-processor. In: IEEE international symposium in consumer electronics
10.
Zurück zum Zitat Makni M, Baklouti M, Niar S, Biglari-Abhari M, Abid M (2015) Heterogeneous multi-core architecture for a 4G communication in high-speed railway. In: 10th international design & test symposium (IDT) Makni M, Baklouti M, Niar S, Biglari-Abhari M, Abid M (2015) Heterogeneous multi-core architecture for a 4G communication in high-speed railway. In: 10th international design & test symposium (IDT)
11.
Zurück zum Zitat Rong Z (2014) Research on multi-core heterogeneous operating system architecture. In: International conference on measuring technology and mechatronics automation Rong Z (2014) Research on multi-core heterogeneous operating system architecture. In: International conference on measuring technology and mechatronics automation
12.
Zurück zum Zitat Stuijk S, Damavandpeyma M, Baste T, Geilen M, Corporaal H (2012) Modeling static order schedules in synchronous data flow graphs. In IEEE conference on design automation and test in Europe (DATE) Stuijk S, Damavandpeyma M, Baste T, Geilen M, Corporaal H (2012) Modeling static order schedules in synchronous data flow graphs. In IEEE conference on design automation and test in Europe (DATE)
13.
Zurück zum Zitat Zhu D (2011) Reliability-aware dynamic energy management in dependable embedded real-time systems. ACM Trans Embed Comput Syst 10(2):261–2627 Zhu D (2011) Reliability-aware dynamic energy management in dependable embedded real-time systems. ACM Trans Embed Comput Syst 10(2):261–2627
14.
Zurück zum Zitat Hao Z, Zhang Y, Xu X, Wang Z, Zhao W (2010) Workload-balancing schedule with the adaptive architecture of MPSoCs for fault tolerance. In: IEEE conference on BMEI Hao Z, Zhang Y, Xu X, Wang Z, Zhao W (2010) Workload-balancing schedule with the adaptive architecture of MPSoCs for fault tolerance. In: IEEE conference on BMEI
15.
Zurück zum Zitat Wu M, Hariri S, Topcuoglu H (2002) Performance-effective and low-complexity task scheduling for heterogeneous computing. IEEE Trans Parallel Distrib Syst 13(3):260–274CrossRef Wu M, Hariri S, Topcuoglu H (2002) Performance-effective and low-complexity task scheduling for heterogeneous computing. IEEE Trans Parallel Distrib Syst 13(3):260–274CrossRef
16.
Zurück zum Zitat Schranzhofer A, Chen J, Thiele L (2010) Dynamic power-aware mapping of applications onto heterogeneous MPSoC platforms. IEEE Trans Ind Inf 6(4):692–707CrossRef Schranzhofer A, Chen J, Thiele L (2010) Dynamic power-aware mapping of applications onto heterogeneous MPSoC platforms. IEEE Trans Ind Inf 6(4):692–707CrossRef
17.
Zurück zum Zitat Carara E, Mandelli M, Ost L, Gouvea T, Guindani G, Medeiros G, Moraes F (2011) Energy-aware dynamic task mapping for NoC-based MPSoCs. In: IEEE international symposium on circuits and systems Carara E, Mandelli M, Ost L, Gouvea T, Guindani G, Medeiros G, Moraes F (2011) Energy-aware dynamic task mapping for NoC-based MPSoCs. In: IEEE international symposium on circuits and systems
19.
Zurück zum Zitat Popovici K, Guerin X, Rousseau F, Paolucci P, Jerraya AA (2008) Platform-based software design flow for heterogeneous mpsoc. ACM Trans Embed Comput Syst 7(4):391–3923CrossRef Popovici K, Guerin X, Rousseau F, Paolucci P, Jerraya AA (2008) Platform-based software design flow for heterogeneous mpsoc. ACM Trans Embed Comput Syst 7(4):391–3923CrossRef
Metadaten
Titel
Energy efficient scheduling algorithm for the multicore heterogeneous embedded architectures
verfasst von
P. Anuradha
Hemalatha Rallapalli
G. Narsimha
Publikationsdatum
16.04.2018
Verlag
Springer US
Erschienen in
Design Automation for Embedded Systems / Ausgabe 1-2/2018
Print ISSN: 0929-5585
Elektronische ISSN: 1572-8080
DOI
https://doi.org/10.1007/s10617-018-9202-7

Weitere Artikel der Ausgabe 1-2/2018

Design Automation for Embedded Systems 1-2/2018 Zur Ausgabe